summaryrefslogtreecommitdiff
path: root/gerbonara/tests/resources/diptrace
diff options
context:
space:
mode:
authorjaseg <git@jaseg.de>2022-01-30 20:11:38 +0100
committerjaseg <git@jaseg.de>2022-01-30 20:11:38 +0100
commitc3ca4f95bd59f69d45e582a4149327f57a360760 (patch)
tree5f43c61a261698e2f671b5238a7aa9a71a0f6d23 /gerbonara/tests/resources/diptrace
parent259a56186820923c78a5688f59bd8249cf958b5f (diff)
downloadgerbonara-c3ca4f95bd59f69d45e582a4149327f57a360760.tar.gz
gerbonara-c3ca4f95bd59f69d45e582a4149327f57a360760.tar.bz2
gerbonara-c3ca4f95bd59f69d45e582a4149327f57a360760.zip
Rename gerbonara/gerber package to just gerbonara
Diffstat (limited to 'gerbonara/tests/resources/diptrace')
-rw-r--r--gerbonara/tests/resources/diptrace/LICENSE.txt27
-rw-r--r--gerbonara/tests/resources/diptrace/README1
-rw-r--r--gerbonara/tests/resources/diptrace/keyboard.drl123
-rw-r--r--gerbonara/tests/resources/diptrace/keyboard_BoardOutline.gbr19
-rw-r--r--gerbonara/tests/resources/diptrace/keyboard_Bottom.gbr1616
-rw-r--r--gerbonara/tests/resources/diptrace/keyboard_BottomMask.gbr173
-rw-r--r--gerbonara/tests/resources/diptrace/keyboard_BottomSilk.gbr264
-rw-r--r--gerbonara/tests/resources/diptrace/mainboard.drl199
-rw-r--r--gerbonara/tests/resources/diptrace/mainboard_BoardOutline.gbr19
-rw-r--r--gerbonara/tests/resources/diptrace/mainboard_Bottom.gbr2336
-rw-r--r--gerbonara/tests/resources/diptrace/mainboard_BottomMask.gbr122
-rw-r--r--gerbonara/tests/resources/diptrace/mainboard_Top.gbr3861
-rw-r--r--gerbonara/tests/resources/diptrace/mainboard_TopMask.gbr353
-rw-r--r--gerbonara/tests/resources/diptrace/mainboard_TopSilk.gbr2400
-rw-r--r--gerbonara/tests/resources/diptrace/panel.drl92
-rw-r--r--gerbonara/tests/resources/diptrace/panel_BoardOutline.gbr19
-rw-r--r--gerbonara/tests/resources/diptrace/panel_Bottom.gbr2814
-rw-r--r--gerbonara/tests/resources/diptrace/panel_BottomMask.gbr189
-rw-r--r--gerbonara/tests/resources/diptrace/panel_BottomSilk.gbr701
19 files changed, 15328 insertions, 0 deletions
diff --git a/gerbonara/tests/resources/diptrace/LICENSE.txt b/gerbonara/tests/resources/diptrace/LICENSE.txt
new file mode 100644
index 0000000..e80a57f
--- /dev/null
+++ b/gerbonara/tests/resources/diptrace/LICENSE.txt
@@ -0,0 +1,27 @@
+Software License Agreement (BSD License)
+
+Copyright (c) 2014, Przemysław Węgrzyn <pwegrzyn@codepainters.com>
+All rights reserved.
+
+Redistribution and use in source and binary forms, with or without
+modification, are permitted provided that the following conditions are met:
+ * Redistributions of source code must retain the above copyright
+ notice, this list of conditions and the following disclaimer.
+ * Redistributions in binary form must reproduce the above copyright
+ notice, this list of conditions and the following disclaimer in the
+ documentation and/or other materials provided with the distribution.
+ * Neither the name of the <organization> nor the
+ names of its contributors may be used to endorse or promote products
+ derived from this software without specific prior written permission.
+
+THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
+ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
+WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
+DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY
+DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
+(INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
+LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND
+ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
+(INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
+SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
+
diff --git a/gerbonara/tests/resources/diptrace/README b/gerbonara/tests/resources/diptrace/README
new file mode 100644
index 0000000..d2c21ac
--- /dev/null
+++ b/gerbonara/tests/resources/diptrace/README
@@ -0,0 +1 @@
+From https://github.com/codepainters/FD1/tree/master/hardware
diff --git a/gerbonara/tests/resources/diptrace/keyboard.drl b/gerbonara/tests/resources/diptrace/keyboard.drl
new file mode 100644
index 0000000..7f31ec7
--- /dev/null
+++ b/gerbonara/tests/resources/diptrace/keyboard.drl
@@ -0,0 +1,123 @@
+M48
+INCH
+T01C0.0217
+T02C0.0276
+T03C0.0354
+T04C0.0433
+T05C0.0669
+T06C0.126
+%
+T01
+X+006937Y+025687
+X+006937Y+025096
+X+006937Y+024506
+X+007528Y+024801
+X+007528Y+025392
+X+007528Y+025982
+X+007528Y+026573
+X+007528Y+027163
+X+008118Y+026868
+X+008118Y+026278
+X+008118Y+025687
+X+008118Y+025096
+X+008118Y+024506
+X+008118Y+023915
+X+007528Y+024211
+X+006937Y+026278
+X+006937Y+026868
+X+006937Y+027459
+X+008118Y+027459
+T02
+X+019437Y+029437
+X+018687Y+028437
+X+017937Y+027437
+X+017187Y+026437
+X+016437Y+025437
+X+015461Y+024437
+X+014711Y+023437
+X+013961Y+022437
+X+013211Y+021437
+X+012461Y+020437
+X+014711Y+018437
+X+015461Y+019437
+X+016437Y+020437
+X+017187Y+021437
+X+017937Y+022437
+X+018687Y+023437
+X+019437Y+024437
+X+019437Y+019437
+X+018687Y+018437
+X+017937Y+017437
+X+017187Y+016437
+X+016437Y+015437
+X+015461Y+014437
+X+014711Y+013437
+X+013961Y+012437
+X+013211Y+011437
+X+012461Y+010437
+X+016437Y+010437
+X+017187Y+011437
+X+017937Y+012437
+X+018687Y+013437
+X+019437Y+014437
+X+013961Y+017437
+X+013211Y+016437
+X+012461Y+015437
+X+012461Y+025437
+X+013211Y+026437
+X+013961Y+027437
+X+014711Y+028437
+X+015461Y+029437
+T03
+X+022437Y+010437
+X+022437Y+011437
+X+023437Y+011437
+X+023437Y+010437
+X+023437Y+012437
+X+023437Y+013437
+X+023437Y+014437
+X+023437Y+015437
+X+023437Y+016437
+X+023437Y+017437
+X+023437Y+018437
+X+023437Y+019437
+X+022437Y+019437
+X+022437Y+018437
+X+022437Y+017437
+X+022437Y+016437
+X+022437Y+015437
+X+022437Y+014437
+X+022437Y+013437
+X+022437Y+012437
+X+022437Y+020437
+X+022437Y+021437
+X+022437Y+022437
+X+023437Y+022437
+X+023437Y+021437
+X+023437Y+020437
+X+023437Y+023437
+X+023437Y+024437
+X+023437Y+025437
+X+023437Y+026437
+X+023437Y+027437
+X+022437Y+027437
+X+022437Y+026437
+X+022437Y+025437
+X+022437Y+024437
+X+022437Y+023437
+X+022437Y+028437
+X+022437Y+029437
+X+023437Y+029437
+X+023437Y+028437
+T04
+X+004850Y+028876
+X+004850Y+022695
+T05
+X+005559Y+025785
+T06
+X+023437Y+033937
+X+005437Y+033937
+X+005437Y+005437
+X+023437Y+005437
+T00
+M30
diff --git a/gerbonara/tests/resources/diptrace/keyboard_BoardOutline.gbr b/gerbonara/tests/resources/diptrace/keyboard_BoardOutline.gbr
new file mode 100644
index 0000000..453662a
--- /dev/null
+++ b/gerbonara/tests/resources/diptrace/keyboard_BoardOutline.gbr
@@ -0,0 +1,19 @@
+G04 DipTrace 2.4.0.1*
+%INkeyboard_BoardOutline.gbr*%
+%MOIN*%
+%ADD11C,0.0055*%
+%FSLAX44Y44*%
+G04*
+G70*
+G90*
+G75*
+G01*
+%LNBoardOutline*%
+%LPD*%
+X3937Y35437D2*
+D11*
+X25937D1*
+Y3937D1*
+X3937D1*
+Y35437D1*
+M02*
diff --git a/gerbonara/tests/resources/diptrace/keyboard_Bottom.gbr b/gerbonara/tests/resources/diptrace/keyboard_Bottom.gbr
new file mode 100644
index 0000000..0d6f5f3
--- /dev/null
+++ b/gerbonara/tests/resources/diptrace/keyboard_Bottom.gbr
@@ -0,0 +1,1616 @@
+G04 DipTrace 2.4.0.1*
+%INkeyboard_Bottom.gbr*%
+%MOIN*%
+%ADD13C,0.03*%
+%ADD14C,0.02*%
+%ADD15C,0.025*%
+%ADD17C,0.0433*%
+%ADD18C,0.0787*%
+%ADD19C,0.0748*%
+%ADD20R,0.0748X0.0748*%
+%ADD21R,0.0709X0.0709*%
+%ADD22C,0.0709*%
+%FSLAX44Y44*%
+G04*
+G70*
+G90*
+G75*
+G01*
+%LNBottom*%
+%LPD*%
+X15461Y29437D2*
+D13*
+Y24437D1*
+Y19437D1*
+Y14437D1*
+X8118Y26278D2*
+X8778D1*
+X11937Y29437D1*
+X15461D1*
+X14711Y28437D2*
+Y23437D1*
+Y18437D1*
+Y13437D1*
+X8118Y25687D2*
+X9187D1*
+X11937Y28437D1*
+X14711D1*
+X13961Y27437D2*
+X11937D1*
+X9687Y25187D1*
+X8209D1*
+X8118Y25096D1*
+X13961Y27437D2*
+Y22437D1*
+Y17437D1*
+Y12437D1*
+X13211Y26437D2*
+X11937D1*
+X9937Y24437D1*
+X8187D1*
+X8118Y24506D1*
+X13211Y26437D2*
+Y21437D1*
+Y16437D1*
+Y11437D1*
+X12461Y25437D2*
+X11937D1*
+X10437Y23937D1*
+X8140D1*
+X8118Y23915D1*
+X12461Y25437D2*
+Y20437D1*
+Y15437D1*
+Y10437D1*
+X7528Y25982D2*
+D14*
+Y25392D1*
+Y24801D1*
+Y24211D1*
+Y25982D2*
+Y26573D1*
+Y27163D1*
+Y28096D1*
+X6937Y28687D1*
+X5039D1*
+X4850Y28876D1*
+X19437Y19437D2*
+D13*
+X22437D1*
+Y18437D2*
+X18687D1*
+X17937Y17437D2*
+X22437D1*
+Y16437D2*
+X17187D1*
+X16437Y15437D2*
+X22437D1*
+Y14437D2*
+X19437D1*
+X18687Y13437D2*
+X22437D1*
+Y12437D2*
+X17937D1*
+X17187Y11437D2*
+X22437D1*
+X19437Y29437D2*
+X22437D1*
+Y28437D2*
+X18687D1*
+X17937Y27437D2*
+X22437D1*
+Y26437D2*
+X17187D1*
+X16437Y25437D2*
+X22437D1*
+Y24437D2*
+X19437D1*
+X18687Y23437D2*
+X22437D1*
+Y22437D2*
+X17937D1*
+X17187Y21437D2*
+X22437D1*
+Y20437D2*
+X16437D1*
+X22437Y10437D2*
+X16437D1*
+X23437Y29437D2*
+D14*
+Y28437D1*
+Y27437D1*
+Y26437D1*
+Y25437D1*
+X24187Y24687D1*
+Y20687D1*
+X25187Y19687D1*
+Y9187D1*
+X23437Y7437D1*
+X11687D1*
+X9437Y9687D1*
+Y18687D1*
+X5687Y22437D1*
+Y24937D1*
+X6437Y25687D1*
+Y25937D1*
+X6778Y26278D1*
+X6937D1*
+X23437Y24437D2*
+Y23437D1*
+Y22437D1*
+Y21437D1*
+Y20437D1*
+X6937Y25687D2*
+X6062Y24812D1*
+Y22812D1*
+X9937Y18937D1*
+Y9937D1*
+X11937Y7937D1*
+X23187D1*
+X24687Y9437D1*
+Y19187D1*
+X23437Y20437D1*
+Y19437D2*
+Y18437D1*
+Y17437D1*
+Y16437D1*
+Y15437D1*
+X6937Y25096D2*
+X6846D1*
+X6437Y24687D1*
+Y23187D1*
+X10437Y19187D1*
+Y10187D1*
+X12187Y8437D1*
+X22937D1*
+X24187Y9687D1*
+Y14687D1*
+X23437Y15437D1*
+Y14437D2*
+Y13437D1*
+Y12437D1*
+Y10437D2*
+Y11437D1*
+Y12437D1*
+Y10437D2*
+Y9687D1*
+X22687Y8937D1*
+X12437D1*
+X10937Y10437D1*
+Y19437D1*
+X6937Y23437D1*
+Y24506D1*
+X4087Y35063D2*
+D15*
+X25789D1*
+X4087Y34815D2*
+X4808D1*
+X6066D2*
+X22808D1*
+X24066D2*
+X25789D1*
+X4087Y34566D2*
+X4555D1*
+X6319D2*
+X22555D1*
+X24319D2*
+X25789D1*
+X4087Y34317D2*
+X4418D1*
+X6456D2*
+X22418D1*
+X24456D2*
+X25789D1*
+X4087Y34069D2*
+X4355D1*
+X6519D2*
+X22355D1*
+X24519D2*
+X25789D1*
+X4087Y33820D2*
+X4355D1*
+X6519D2*
+X22355D1*
+X24519D2*
+X25789D1*
+X4087Y33571D2*
+X4414D1*
+X6460D2*
+X22414D1*
+X24460D2*
+X25789D1*
+X4087Y33323D2*
+X4543D1*
+X6331D2*
+X22543D1*
+X24331D2*
+X25789D1*
+X4087Y33074D2*
+X4789D1*
+X6085D2*
+X22789D1*
+X24085D2*
+X25789D1*
+X4087Y32825D2*
+X25789D1*
+X4087Y32576D2*
+X25789D1*
+X4087Y32328D2*
+X25789D1*
+X4087Y32079D2*
+X25789D1*
+X4087Y31830D2*
+X25789D1*
+X4087Y31582D2*
+X25789D1*
+X4087Y31333D2*
+X25789D1*
+X4087Y31084D2*
+X25789D1*
+X4087Y30836D2*
+X25789D1*
+X4087Y30587D2*
+X25789D1*
+X4087Y30338D2*
+X25789D1*
+X4087Y30090D2*
+X14992D1*
+X15929D2*
+X18621D1*
+X20253D2*
+X21644D1*
+X23870D2*
+X25789D1*
+X4087Y29841D2*
+X11488D1*
+X16163D2*
+X18621D1*
+X24116D2*
+X25789D1*
+X4087Y29592D2*
+X11238D1*
+X16261D2*
+X18621D1*
+X24214D2*
+X25789D1*
+X4087Y29344D2*
+X10992D1*
+X16269D2*
+X18621D1*
+X24226D2*
+X25789D1*
+X4087Y29095D2*
+X10742D1*
+X16198D2*
+X17871D1*
+X24151D2*
+X25789D1*
+X4087Y28846D2*
+X10492D1*
+X16069D2*
+X17871D1*
+X24112D2*
+X25789D1*
+X4087Y28597D2*
+X10246D1*
+X16069D2*
+X17871D1*
+X24214D2*
+X25789D1*
+X4087Y28349D2*
+X9996D1*
+X16069D2*
+X17871D1*
+X24226D2*
+X25789D1*
+X4087Y28100D2*
+X6797D1*
+X7076D2*
+X7980D1*
+X8257D2*
+X9746D1*
+X16069D2*
+X17121D1*
+X24155D2*
+X25789D1*
+X4087Y27851D2*
+X6391D1*
+X7483D2*
+X7573D1*
+X8663D2*
+X9500D1*
+X16069D2*
+X17121D1*
+X24108D2*
+X25789D1*
+X4087Y27603D2*
+X6277D1*
+X8776D2*
+X9250D1*
+X16069D2*
+X17121D1*
+X24214D2*
+X25789D1*
+X4087Y27354D2*
+X6269D1*
+X8784D2*
+X9004D1*
+X16069D2*
+X17121D1*
+X24226D2*
+X25789D1*
+X4087Y27105D2*
+X6305D1*
+X16069D2*
+X16371D1*
+X24155D2*
+X25789D1*
+X4087Y26857D2*
+X6262D1*
+X16069D2*
+X16371D1*
+X24108D2*
+X25789D1*
+X4087Y26608D2*
+X6316D1*
+X16069D2*
+X16371D1*
+X24214D2*
+X25789D1*
+X4087Y26359D2*
+X5019D1*
+X16069D2*
+X16371D1*
+X24226D2*
+X25789D1*
+X4087Y26111D2*
+X4836D1*
+X24159D2*
+X25789D1*
+X4087Y25862D2*
+X4769D1*
+X24105D2*
+X25789D1*
+X4087Y25613D2*
+X4785D1*
+X24210D2*
+X25789D1*
+X4087Y25365D2*
+X4891D1*
+X24292D2*
+X25789D1*
+X4087Y25116D2*
+X5156D1*
+X24538D2*
+X25789D1*
+X4087Y24867D2*
+X5129D1*
+X24714D2*
+X25789D1*
+X4087Y24618D2*
+X5129D1*
+X16253D2*
+X18621D1*
+X24745D2*
+X25789D1*
+X4087Y24370D2*
+X5129D1*
+X11722D2*
+X11848D1*
+X16273D2*
+X18621D1*
+X24745D2*
+X25789D1*
+X4087Y24121D2*
+X5129D1*
+X11472D2*
+X11851D1*
+X16210D2*
+X17871D1*
+X24745D2*
+X25789D1*
+X4087Y23872D2*
+X5129D1*
+X11226D2*
+X11851D1*
+X16069D2*
+X17871D1*
+X24745D2*
+X25789D1*
+X4087Y23624D2*
+X5129D1*
+X10976D2*
+X11851D1*
+X16069D2*
+X17871D1*
+X24745D2*
+X25789D1*
+X4087Y23375D2*
+X5129D1*
+X10624D2*
+X11851D1*
+X16069D2*
+X17871D1*
+X24745D2*
+X25789D1*
+X4087Y23126D2*
+X5129D1*
+X8030D2*
+X11851D1*
+X16069D2*
+X17121D1*
+X24745D2*
+X25789D1*
+X4087Y22878D2*
+X5129D1*
+X8276D2*
+X11851D1*
+X16069D2*
+X17121D1*
+X24745D2*
+X25789D1*
+X4087Y22629D2*
+X5129D1*
+X8526D2*
+X11851D1*
+X16069D2*
+X17121D1*
+X24745D2*
+X25789D1*
+X4087Y22380D2*
+X5129D1*
+X8776D2*
+X11851D1*
+X16069D2*
+X17121D1*
+X24745D2*
+X25789D1*
+X4087Y22132D2*
+X5223D1*
+X9023D2*
+X11851D1*
+X16069D2*
+X16371D1*
+X24745D2*
+X25789D1*
+X4087Y21883D2*
+X5461D1*
+X9273D2*
+X11851D1*
+X16069D2*
+X16371D1*
+X24745D2*
+X25789D1*
+X4087Y21634D2*
+X5707D1*
+X9523D2*
+X11851D1*
+X16069D2*
+X16371D1*
+X24745D2*
+X25789D1*
+X4087Y21386D2*
+X5957D1*
+X9769D2*
+X11851D1*
+X16069D2*
+X16371D1*
+X24745D2*
+X25789D1*
+X4087Y21137D2*
+X6207D1*
+X10019D2*
+X11851D1*
+X24745D2*
+X25789D1*
+X4087Y20888D2*
+X6453D1*
+X10269D2*
+X11789D1*
+X24769D2*
+X25789D1*
+X4087Y20639D2*
+X6703D1*
+X10515D2*
+X11672D1*
+X25015D2*
+X25789D1*
+X4087Y20391D2*
+X6953D1*
+X10765D2*
+X11648D1*
+X25265D2*
+X25789D1*
+X4087Y20142D2*
+X7199D1*
+X11015D2*
+X11703D1*
+X25515D2*
+X25789D1*
+X4087Y19893D2*
+X7449D1*
+X11261D2*
+X11851D1*
+X25706D2*
+X25789D1*
+X4087Y19645D2*
+X7699D1*
+X11456D2*
+X11851D1*
+X16245D2*
+X18621D1*
+X4087Y19396D2*
+X7945D1*
+X11495D2*
+X11851D1*
+X16273D2*
+X18621D1*
+X4087Y19147D2*
+X8195D1*
+X11495D2*
+X11851D1*
+X16218D2*
+X17871D1*
+X4087Y18899D2*
+X8445D1*
+X11495D2*
+X11851D1*
+X16069D2*
+X17871D1*
+X4087Y18650D2*
+X8691D1*
+X11495D2*
+X11851D1*
+X16069D2*
+X17871D1*
+X4087Y18401D2*
+X8879D1*
+X11495D2*
+X11851D1*
+X16069D2*
+X17871D1*
+X4087Y18153D2*
+X8879D1*
+X11495D2*
+X11851D1*
+X16069D2*
+X17121D1*
+X4087Y17904D2*
+X8879D1*
+X11495D2*
+X11851D1*
+X16069D2*
+X17121D1*
+X4087Y17655D2*
+X8879D1*
+X11495D2*
+X11851D1*
+X16069D2*
+X17121D1*
+X4087Y17406D2*
+X8879D1*
+X11495D2*
+X11851D1*
+X16069D2*
+X17121D1*
+X4087Y17158D2*
+X8879D1*
+X11495D2*
+X11851D1*
+X16069D2*
+X16371D1*
+X4087Y16909D2*
+X8879D1*
+X11495D2*
+X11851D1*
+X16069D2*
+X16371D1*
+X4087Y16660D2*
+X8879D1*
+X11495D2*
+X11851D1*
+X16069D2*
+X16371D1*
+X4087Y16412D2*
+X8879D1*
+X11495D2*
+X11851D1*
+X16069D2*
+X16371D1*
+X4087Y16163D2*
+X8879D1*
+X11495D2*
+X11851D1*
+X4087Y15914D2*
+X8879D1*
+X11495D2*
+X11808D1*
+X4087Y15666D2*
+X8879D1*
+X11495D2*
+X11680D1*
+X4087Y15417D2*
+X8879D1*
+X11495D2*
+X11645D1*
+X4087Y15168D2*
+X8879D1*
+X11495D2*
+X11695D1*
+X4087Y14920D2*
+X8879D1*
+X11495D2*
+X11840D1*
+X4087Y14671D2*
+X8879D1*
+X11495D2*
+X11851D1*
+X17253D2*
+X18621D1*
+X4087Y14422D2*
+X8879D1*
+X11495D2*
+X11851D1*
+X16276D2*
+X18621D1*
+X4087Y14174D2*
+X8879D1*
+X11495D2*
+X11851D1*
+X16230D2*
+X17871D1*
+X4087Y13925D2*
+X8879D1*
+X11495D2*
+X11851D1*
+X16085D2*
+X17871D1*
+X4087Y13676D2*
+X8879D1*
+X11495D2*
+X11851D1*
+X15694D2*
+X17871D1*
+X4087Y13427D2*
+X8879D1*
+X11495D2*
+X11851D1*
+X15526D2*
+X17871D1*
+X4087Y13179D2*
+X8879D1*
+X11495D2*
+X11851D1*
+X15480D2*
+X17121D1*
+X4087Y12930D2*
+X8879D1*
+X11495D2*
+X11851D1*
+X15339D2*
+X17121D1*
+X4087Y12681D2*
+X8879D1*
+X11495D2*
+X11851D1*
+X14964D2*
+X17121D1*
+X4087Y12433D2*
+X8879D1*
+X11495D2*
+X11851D1*
+X14776D2*
+X17121D1*
+X4087Y12184D2*
+X8879D1*
+X11495D2*
+X11851D1*
+X14733D2*
+X16371D1*
+X4087Y11935D2*
+X8879D1*
+X11495D2*
+X11851D1*
+X14597D2*
+X16371D1*
+X4087Y11687D2*
+X8879D1*
+X11495D2*
+X11851D1*
+X14230D2*
+X16371D1*
+X4087Y11438D2*
+X8879D1*
+X11495D2*
+X11851D1*
+X14026D2*
+X16371D1*
+X4087Y11189D2*
+X8879D1*
+X11495D2*
+X11851D1*
+X13983D2*
+X15621D1*
+X4087Y10941D2*
+X8879D1*
+X11495D2*
+X11828D1*
+X13851D2*
+X15621D1*
+X4087Y10692D2*
+X8879D1*
+X11495D2*
+X11687D1*
+X13495D2*
+X15621D1*
+X4087Y10443D2*
+X8879D1*
+X13276D2*
+X15621D1*
+X4087Y10195D2*
+X8879D1*
+X13237D2*
+X15621D1*
+X4087Y9946D2*
+X8879D1*
+X13105D2*
+X15621D1*
+X4087Y9697D2*
+X8879D1*
+X12761D2*
+X15621D1*
+X17253D2*
+X22199D1*
+X4087Y9448D2*
+X8933D1*
+X4087Y9200D2*
+X9144D1*
+X4087Y8951D2*
+X9391D1*
+X25691D2*
+X25789D1*
+X4087Y8702D2*
+X9641D1*
+X25483D2*
+X25789D1*
+X4087Y8454D2*
+X9891D1*
+X25233D2*
+X25789D1*
+X4087Y8205D2*
+X10137D1*
+X24987D2*
+X25789D1*
+X4087Y7956D2*
+X10387D1*
+X24737D2*
+X25789D1*
+X4087Y7708D2*
+X10637D1*
+X24487D2*
+X25789D1*
+X4087Y7459D2*
+X10883D1*
+X24241D2*
+X25789D1*
+X4087Y7210D2*
+X11133D1*
+X23991D2*
+X25789D1*
+X4087Y6962D2*
+X11418D1*
+X23706D2*
+X25789D1*
+X4087Y6713D2*
+X25789D1*
+X4087Y6464D2*
+X5133D1*
+X5741D2*
+X23133D1*
+X23741D2*
+X25789D1*
+X4087Y6216D2*
+X4687D1*
+X6187D2*
+X22687D1*
+X24187D2*
+X25789D1*
+X4087Y5967D2*
+X4488D1*
+X6386D2*
+X22488D1*
+X24386D2*
+X25789D1*
+X4087Y5718D2*
+X4387D1*
+X6487D2*
+X22387D1*
+X24487D2*
+X25789D1*
+X4087Y5469D2*
+X4348D1*
+X6526D2*
+X22348D1*
+X24526D2*
+X25789D1*
+X4087Y5221D2*
+X4371D1*
+X6503D2*
+X22371D1*
+X24503D2*
+X25789D1*
+X4087Y4972D2*
+X4453D1*
+X6421D2*
+X22453D1*
+X24421D2*
+X25789D1*
+X4087Y4723D2*
+X4621D1*
+X6253D2*
+X22621D1*
+X24253D2*
+X25789D1*
+X4087Y4475D2*
+X4957D1*
+X5917D2*
+X22957D1*
+X23917D2*
+X25789D1*
+X4087Y4226D2*
+X25789D1*
+X8441Y23353D2*
+X8384Y23320D1*
+X8265Y23281D1*
+X8142Y23264D1*
+X8017Y23272D1*
+X7896Y23303D1*
+X7749Y23382D1*
+X11315Y19815D1*
+X11393Y19717D1*
+X11445Y19604D1*
+X11469Y19493D1*
+X11472Y18562D1*
+Y10660D1*
+X11672Y10459D1*
+X11685Y10581D1*
+X11717Y10702D1*
+X11768Y10816D1*
+X11837Y10920D1*
+X11876Y10964D1*
+Y14910D1*
+X11813Y14986D1*
+X11750Y15094D1*
+X11705Y15210D1*
+X11678Y15333D1*
+X11672Y15457D1*
+X11685Y15581D1*
+X11717Y15702D1*
+X11768Y15816D1*
+X11837Y15920D1*
+X11876Y15964D1*
+Y19910D1*
+X11813Y19986D1*
+X11750Y20094D1*
+X11705Y20210D1*
+X11678Y20333D1*
+X11672Y20457D1*
+X11685Y20581D1*
+X11717Y20702D1*
+X11768Y20816D1*
+X11837Y20920D1*
+X11876Y20964D1*
+Y24550D1*
+X10851Y23523D1*
+X10754Y23445D1*
+X10642Y23389D1*
+X10525Y23359D1*
+X10312Y23352D1*
+X8449D1*
+X8696Y27164D2*
+X8738Y27064D1*
+X11523Y29851D1*
+X11620Y29929D1*
+X11732Y29985D1*
+X11850Y30015D1*
+X12062Y30022D1*
+X14933D1*
+X15018Y30091D1*
+X15127Y30152D1*
+X15244Y30196D1*
+X15366Y30221D1*
+X15491Y30226D1*
+X15615Y30211D1*
+X15735Y30177D1*
+X15848Y30125D1*
+X15952Y30055D1*
+X16043Y29970D1*
+X16120Y29871D1*
+X16180Y29762D1*
+X16222Y29644D1*
+X16245Y29521D1*
+X16250Y29437D1*
+X16240Y29313D1*
+X16211Y29191D1*
+X16163Y29076D1*
+X16097Y28970D1*
+X16043Y28908D1*
+X16046Y26812D1*
+Y26230D1*
+X16402Y26226D1*
+X16398Y26648D1*
+Y27226D1*
+X17152D1*
+X17148Y27648D1*
+Y28226D1*
+X17902D1*
+X17898Y28648D1*
+Y29226D1*
+X18652D1*
+X18648Y29648D1*
+Y30226D1*
+X20226D1*
+Y30019D1*
+X21667Y30022D1*
+Y30207D1*
+X23207D1*
+Y30171D1*
+X23311Y30196D1*
+X23435Y30207D1*
+X23560Y30197D1*
+X23681Y30167D1*
+X23796Y30118D1*
+X23901Y30051D1*
+X23994Y29968D1*
+X24073Y29871D1*
+X24135Y29762D1*
+X24178Y29645D1*
+X24202Y29523D1*
+X24207Y29437D1*
+X24197Y29313D1*
+X24166Y29191D1*
+X24117Y29077D1*
+X24021Y28939D1*
+X24073Y28871D1*
+X24135Y28762D1*
+X24178Y28645D1*
+X24202Y28523D1*
+X24207Y28437D1*
+X24197Y28313D1*
+X24166Y28191D1*
+X24117Y28077D1*
+X24021Y27939D1*
+X24073Y27871D1*
+X24135Y27762D1*
+X24178Y27645D1*
+X24202Y27523D1*
+X24207Y27437D1*
+X24197Y27313D1*
+X24166Y27191D1*
+X24117Y27077D1*
+X24021Y26939D1*
+X24073Y26871D1*
+X24135Y26762D1*
+X24178Y26645D1*
+X24202Y26523D1*
+X24207Y26437D1*
+X24197Y26313D1*
+X24166Y26191D1*
+X24117Y26077D1*
+X24021Y25939D1*
+X24073Y25871D1*
+X24135Y25762D1*
+X24178Y25645D1*
+X24202Y25523D1*
+X24206Y25425D1*
+X24565Y25065D1*
+X24643Y24967D1*
+X24695Y24854D1*
+X24719Y24743D1*
+X24722Y23812D1*
+Y20910D1*
+X25565Y20065D1*
+X25643Y19967D1*
+X25695Y19854D1*
+X25719Y19743D1*
+X25722Y18812D1*
+Y9187D1*
+X25707Y9063D1*
+X25665Y8946D1*
+X25603Y8850D1*
+X24947Y8190D1*
+X23815Y7059D1*
+X23717Y6981D1*
+X23604Y6929D1*
+X23493Y6905D1*
+X22562Y6902D1*
+X11687D1*
+X11563Y6917D1*
+X11446Y6959D1*
+X11350Y7021D1*
+X10690Y7677D1*
+X9059Y9309D1*
+X8981Y9407D1*
+X8929Y9520D1*
+X8905Y9631D1*
+X8902Y10562D1*
+Y18464D1*
+X5309Y22059D1*
+X5231Y22157D1*
+X5179Y22270D1*
+X5155Y22381D1*
+X5152Y23312D1*
+Y24937D1*
+X5165Y25054D1*
+X5163Y25126D1*
+X5061Y25198D1*
+X4973Y25286D1*
+X4900Y25388D1*
+X4844Y25500D1*
+X4808Y25619D1*
+X4791Y25743D1*
+X4794Y25867D1*
+X4817Y25990D1*
+X4860Y26107D1*
+X4921Y26216D1*
+X4999Y26314D1*
+X5092Y26397D1*
+X5197Y26465D1*
+X5312Y26514D1*
+X5433Y26545D1*
+X5557Y26555D1*
+X5682Y26545D1*
+X5803Y26515D1*
+X5918Y26466D1*
+X6023Y26399D1*
+X6084Y26345D1*
+X6345Y26602D1*
+X6308Y26698D1*
+X6287Y26821D1*
+X6290Y26946D1*
+X6317Y27068D1*
+X6359Y27165D1*
+X6308Y27289D1*
+X6287Y27412D1*
+X6290Y27537D1*
+X6317Y27659D1*
+X6366Y27773D1*
+X6437Y27876D1*
+X6526Y27964D1*
+X6629Y28033D1*
+X6745Y28081D1*
+X6867Y28106D1*
+X6992Y28108D1*
+X7114Y28086D1*
+X7231Y28040D1*
+X7336Y27974D1*
+X7427Y27888D1*
+X7500Y27787D1*
+X7524Y27734D1*
+X7618Y27876D1*
+X7707Y27964D1*
+X7811Y28033D1*
+X7926Y28081D1*
+X8048Y28106D1*
+X8173Y28108D1*
+X8296Y28086D1*
+X8412Y28040D1*
+X8517Y27974D1*
+X8608Y27888D1*
+X8681Y27787D1*
+X8733Y27673D1*
+X8763Y27552D1*
+X8770Y27459D1*
+X8758Y27334D1*
+X8722Y27215D1*
+X8699Y27170D1*
+X22713Y9721D2*
+X22643Y9696D1*
+X22521Y9672D1*
+X22396Y9668D1*
+X22272Y9685D1*
+X22153Y9722D1*
+X22041Y9777D1*
+X21937Y9852D1*
+X17226Y9851D1*
+Y9648D1*
+X15648D1*
+Y11226D1*
+X16402D1*
+X16398Y11648D1*
+Y12226D1*
+X17152D1*
+X17148Y12648D1*
+Y13226D1*
+X17902D1*
+X17898Y13648D1*
+Y14226D1*
+X18652D1*
+X18648Y14648D1*
+Y14855D1*
+X17230Y14852D1*
+X17226Y14648D1*
+X16222Y14644D1*
+X16245Y14521D1*
+X16250Y14437D1*
+X16240Y14313D1*
+X16211Y14191D1*
+X16163Y14076D1*
+X16097Y13970D1*
+X16015Y13875D1*
+X15920Y13795D1*
+X15813Y13731D1*
+X15697Y13684D1*
+X15575Y13656D1*
+X15472Y13644D1*
+X15495Y13521D1*
+X15500Y13437D1*
+X15490Y13313D1*
+X15461Y13191D1*
+X15413Y13076D1*
+X15347Y12970D1*
+X15265Y12875D1*
+X15170Y12795D1*
+X15063Y12731D1*
+X14947Y12684D1*
+X14825Y12656D1*
+X14722Y12644D1*
+X14745Y12521D1*
+X14750Y12437D1*
+X14740Y12313D1*
+X14711Y12191D1*
+X14663Y12076D1*
+X14597Y11970D1*
+X14515Y11875D1*
+X14420Y11795D1*
+X14313Y11731D1*
+X14197Y11684D1*
+X14075Y11656D1*
+X13972Y11644D1*
+X13995Y11521D1*
+X14000Y11437D1*
+X13990Y11313D1*
+X13961Y11191D1*
+X13913Y11076D1*
+X13847Y10970D1*
+X13765Y10875D1*
+X13670Y10795D1*
+X13563Y10731D1*
+X13447Y10684D1*
+X13325Y10656D1*
+X13222Y10644D1*
+X13245Y10521D1*
+X13250Y10437D1*
+X13240Y10313D1*
+X13211Y10191D1*
+X13163Y10076D1*
+X13097Y9970D1*
+X13015Y9875D1*
+X12920Y9795D1*
+X12813Y9731D1*
+X12697Y9684D1*
+X12575Y9656D1*
+X12478Y9650D1*
+X12660Y9470D1*
+X15312Y9472D1*
+X22464D1*
+X22709Y9716D1*
+X17226Y24855D2*
+Y24648D1*
+X16222Y24644D1*
+X16245Y24521D1*
+X16250Y24437D1*
+X16240Y24313D1*
+X16211Y24191D1*
+X16163Y24076D1*
+X16097Y23970D1*
+X16043Y23908D1*
+X16046Y21812D1*
+Y21230D1*
+X16402Y21226D1*
+X16398Y21648D1*
+Y22226D1*
+X17152D1*
+X17148Y22648D1*
+Y23226D1*
+X17902D1*
+X17898Y23648D1*
+Y24226D1*
+X18652D1*
+X18648Y24648D1*
+Y24855D1*
+X17230Y24852D1*
+X17226Y19855D2*
+Y19648D1*
+X16222Y19644D1*
+X16245Y19521D1*
+X16250Y19437D1*
+X16240Y19313D1*
+X16211Y19191D1*
+X16163Y19076D1*
+X16097Y18970D1*
+X16043Y18908D1*
+X16046Y16812D1*
+Y16230D1*
+X16402Y16226D1*
+X16398Y16648D1*
+Y17226D1*
+X17152D1*
+X17148Y17648D1*
+Y18226D1*
+X17902D1*
+X17898Y18648D1*
+Y19226D1*
+X18652D1*
+X18648Y19648D1*
+Y19855D1*
+X17230Y19852D1*
+X24495Y33812D2*
+X24473Y33689D1*
+X24437Y33570D1*
+X24387Y33455D1*
+X24324Y33347D1*
+X24249Y33247D1*
+X24162Y33157D1*
+X24066Y33078D1*
+X23961Y33010D1*
+X23849Y32955D1*
+X23731Y32913D1*
+X23609Y32886D1*
+X23485Y32873D1*
+X23360Y32875D1*
+X23236Y32891D1*
+X23115Y32922D1*
+X22998Y32967D1*
+X22888Y33025D1*
+X22785Y33095D1*
+X22691Y33178D1*
+X22607Y33270D1*
+X22534Y33372D1*
+X22474Y33482D1*
+X22428Y33597D1*
+X22395Y33718D1*
+X22376Y33842D1*
+X22372Y33966D1*
+X22383Y34091D1*
+X22409Y34213D1*
+X22448Y34332D1*
+X22501Y34445D1*
+X22567Y34551D1*
+X22645Y34649D1*
+X22734Y34737D1*
+X22832Y34813D1*
+X22939Y34878D1*
+X23053Y34930D1*
+X23172Y34968D1*
+X23294Y34992D1*
+X23419Y35002D1*
+X23544Y34997D1*
+X23667Y34977D1*
+X23787Y34943D1*
+X23902Y34895D1*
+X24011Y34834D1*
+X24112Y34760D1*
+X24204Y34676D1*
+X24285Y34581D1*
+X24355Y34477D1*
+X24412Y34366D1*
+X24455Y34248D1*
+X24485Y34127D1*
+X24502Y33937D1*
+X24495Y33812D1*
+X6495D2*
+X6473Y33689D1*
+X6437Y33570D1*
+X6387Y33455D1*
+X6324Y33347D1*
+X6249Y33247D1*
+X6162Y33157D1*
+X6066Y33078D1*
+X5961Y33010D1*
+X5849Y32955D1*
+X5731Y32913D1*
+X5609Y32886D1*
+X5485Y32873D1*
+X5360Y32875D1*
+X5236Y32891D1*
+X5115Y32922D1*
+X4998Y32967D1*
+X4888Y33025D1*
+X4785Y33095D1*
+X4691Y33178D1*
+X4607Y33270D1*
+X4534Y33372D1*
+X4474Y33482D1*
+X4428Y33597D1*
+X4395Y33718D1*
+X4376Y33842D1*
+X4372Y33966D1*
+X4383Y34091D1*
+X4409Y34213D1*
+X4448Y34332D1*
+X4501Y34445D1*
+X4567Y34551D1*
+X4645Y34649D1*
+X4734Y34737D1*
+X4832Y34813D1*
+X4939Y34878D1*
+X5053Y34930D1*
+X5172Y34968D1*
+X5294Y34992D1*
+X5419Y35002D1*
+X5544Y34997D1*
+X5667Y34977D1*
+X5787Y34943D1*
+X5902Y34895D1*
+X6011Y34834D1*
+X6112Y34760D1*
+X6204Y34676D1*
+X6285Y34581D1*
+X6355Y34477D1*
+X6412Y34366D1*
+X6455Y34248D1*
+X6485Y34127D1*
+X6502Y33937D1*
+X6495Y33812D1*
+X24495Y5312D2*
+X24473Y5189D1*
+X24437Y5070D1*
+X24387Y4955D1*
+X24324Y4847D1*
+X24249Y4747D1*
+X24162Y4657D1*
+X24066Y4578D1*
+X23961Y4510D1*
+X23849Y4455D1*
+X23731Y4413D1*
+X23609Y4386D1*
+X23485Y4373D1*
+X23360Y4375D1*
+X23236Y4391D1*
+X23115Y4422D1*
+X22998Y4467D1*
+X22888Y4525D1*
+X22785Y4595D1*
+X22691Y4678D1*
+X22607Y4770D1*
+X22534Y4872D1*
+X22474Y4982D1*
+X22428Y5097D1*
+X22395Y5218D1*
+X22376Y5342D1*
+X22372Y5466D1*
+X22383Y5591D1*
+X22409Y5713D1*
+X22448Y5832D1*
+X22501Y5945D1*
+X22567Y6051D1*
+X22645Y6149D1*
+X22734Y6237D1*
+X22832Y6313D1*
+X22939Y6378D1*
+X23053Y6430D1*
+X23172Y6468D1*
+X23294Y6492D1*
+X23419Y6502D1*
+X23544Y6497D1*
+X23667Y6477D1*
+X23787Y6443D1*
+X23902Y6395D1*
+X24011Y6334D1*
+X24112Y6260D1*
+X24204Y6176D1*
+X24285Y6081D1*
+X24355Y5977D1*
+X24412Y5866D1*
+X24455Y5748D1*
+X24485Y5627D1*
+X24502Y5437D1*
+X24495Y5312D1*
+X6495D2*
+X6473Y5189D1*
+X6437Y5070D1*
+X6387Y4955D1*
+X6324Y4847D1*
+X6249Y4747D1*
+X6162Y4657D1*
+X6066Y4578D1*
+X5961Y4510D1*
+X5849Y4455D1*
+X5731Y4413D1*
+X5609Y4386D1*
+X5485Y4373D1*
+X5360Y4375D1*
+X5236Y4391D1*
+X5115Y4422D1*
+X4998Y4467D1*
+X4888Y4525D1*
+X4785Y4595D1*
+X4691Y4678D1*
+X4607Y4770D1*
+X4534Y4872D1*
+X4474Y4982D1*
+X4428Y5097D1*
+X4395Y5218D1*
+X4376Y5342D1*
+X4372Y5466D1*
+X4383Y5591D1*
+X4409Y5713D1*
+X4448Y5832D1*
+X4501Y5945D1*
+X4567Y6051D1*
+X4645Y6149D1*
+X4734Y6237D1*
+X4832Y6313D1*
+X4939Y6378D1*
+X5053Y6430D1*
+X5172Y6468D1*
+X5294Y6492D1*
+X5419Y6502D1*
+X5544Y6497D1*
+X5667Y6477D1*
+X5787Y6443D1*
+X5902Y6395D1*
+X6011Y6334D1*
+X6112Y6260D1*
+X6204Y6176D1*
+X6285Y6081D1*
+X6355Y5977D1*
+X6412Y5866D1*
+X6455Y5748D1*
+X6485Y5627D1*
+X6502Y5437D1*
+X6495Y5312D1*
+X25687Y35312D2*
+X4062D1*
+Y4062D1*
+X25812D1*
+Y35312D1*
+X25687D1*
+D17*
+X6937Y25687D3*
+X8118D3*
+X6937Y25096D3*
+Y24506D3*
+X7528Y25392D3*
+Y24801D3*
+Y24211D3*
+X8118Y23915D3*
+Y24506D3*
+Y25096D3*
+Y26278D3*
+Y26868D3*
+Y27459D3*
+X6937D3*
+Y26868D3*
+Y26278D3*
+X7528Y25982D3*
+Y26573D3*
+Y27163D3*
+D18*
+X4850Y28876D3*
+Y22695D3*
+D19*
+X22437Y10437D3*
+Y11437D3*
+Y12437D3*
+Y13437D3*
+Y14437D3*
+Y15437D3*
+Y16437D3*
+Y17437D3*
+Y18437D3*
+Y19437D3*
+Y20437D3*
+Y21437D3*
+Y22437D3*
+Y23437D3*
+Y24437D3*
+Y25437D3*
+Y26437D3*
+Y27437D3*
+Y28437D3*
+D20*
+Y29437D3*
+D19*
+X23437D3*
+Y28437D3*
+Y27437D3*
+Y26437D3*
+Y25437D3*
+Y24437D3*
+Y23437D3*
+Y22437D3*
+Y21437D3*
+Y20437D3*
+Y19437D3*
+Y18437D3*
+Y17437D3*
+Y16437D3*
+Y15437D3*
+Y14437D3*
+Y13437D3*
+Y12437D3*
+Y11437D3*
+Y10437D3*
+D21*
+X19437Y29437D3*
+D22*
+X15461D3*
+D21*
+X18687Y28437D3*
+D22*
+X14711D3*
+D21*
+X17937Y27437D3*
+D22*
+X13961D3*
+D21*
+X17187Y26437D3*
+D22*
+X13211D3*
+D21*
+X16437Y25437D3*
+D22*
+X12461D3*
+D21*
+X19437Y24437D3*
+D22*
+X15461D3*
+D21*
+X18687Y23437D3*
+D22*
+X14711D3*
+D21*
+X17937Y22437D3*
+D22*
+X13961D3*
+D21*
+X17187Y21437D3*
+D22*
+X13211D3*
+D21*
+X16437Y20437D3*
+D22*
+X12461D3*
+D21*
+X19437Y19437D3*
+D22*
+X15461D3*
+D21*
+X18687Y18437D3*
+D22*
+X14711D3*
+D21*
+X17937Y17437D3*
+D22*
+X13961D3*
+D21*
+X17187Y16437D3*
+D22*
+X13211D3*
+D21*
+X16437Y15437D3*
+D22*
+X12461D3*
+D21*
+X19437Y14437D3*
+D22*
+X15461D3*
+D21*
+X18687Y13437D3*
+D22*
+X14711D3*
+D21*
+X17937Y12437D3*
+D22*
+X13961D3*
+D21*
+X17187Y11437D3*
+D22*
+X13211D3*
+D21*
+X16437Y10437D3*
+D22*
+X12461D3*
+M02*
diff --git a/gerbonara/tests/resources/diptrace/keyboard_BottomMask.gbr b/gerbonara/tests/resources/diptrace/keyboard_BottomMask.gbr
new file mode 100644
index 0000000..a2e49bf
--- /dev/null
+++ b/gerbonara/tests/resources/diptrace/keyboard_BottomMask.gbr
@@ -0,0 +1,173 @@
+G04 DipTrace 2.4.0.1*
+%INkeyboard_BottomMask.gbr*%
+%MOIN*%
+%ADD23C,0.0669*%
+%ADD24C,0.126*%
+%ADD28C,0.0787*%
+%ADD30R,0.0787X0.0787*%
+%ADD32R,0.0827X0.0827*%
+%ADD34C,0.0827*%
+%ADD36C,0.0866*%
+%ADD38C,0.0512*%
+%FSLAX44Y44*%
+G04*
+G70*
+G90*
+G75*
+G01*
+%LNBotMask*%
+%LPD*%
+D38*
+X6937Y25687D3*
+X8118D3*
+X6937Y25096D3*
+Y24506D3*
+X7528Y25392D3*
+Y24801D3*
+Y24211D3*
+X8118Y23915D3*
+Y24506D3*
+Y25096D3*
+Y26278D3*
+Y26868D3*
+Y27459D3*
+X6937D3*
+Y26868D3*
+Y26278D3*
+X7528Y25982D3*
+Y26573D3*
+Y27163D3*
+D36*
+X4850Y28876D3*
+Y22695D3*
+D23*
+X5559Y25785D3*
+D34*
+X22437Y10437D3*
+Y11437D3*
+Y12437D3*
+Y13437D3*
+Y14437D3*
+Y15437D3*
+Y16437D3*
+Y17437D3*
+Y18437D3*
+Y19437D3*
+Y20437D3*
+Y21437D3*
+Y22437D3*
+Y23437D3*
+Y24437D3*
+Y25437D3*
+Y26437D3*
+Y27437D3*
+Y28437D3*
+D32*
+Y29437D3*
+D34*
+X23437D3*
+Y28437D3*
+Y27437D3*
+Y26437D3*
+Y25437D3*
+Y24437D3*
+Y23437D3*
+Y22437D3*
+Y21437D3*
+Y20437D3*
+Y19437D3*
+Y18437D3*
+Y17437D3*
+Y16437D3*
+Y15437D3*
+Y14437D3*
+Y13437D3*
+Y12437D3*
+Y11437D3*
+Y10437D3*
+D30*
+X19437Y29437D3*
+D28*
+X15461D3*
+D30*
+X18687Y28437D3*
+D28*
+X14711D3*
+D30*
+X17937Y27437D3*
+D28*
+X13961D3*
+D30*
+X17187Y26437D3*
+D28*
+X13211D3*
+D30*
+X16437Y25437D3*
+D28*
+X12461D3*
+D30*
+X19437Y24437D3*
+D28*
+X15461D3*
+D30*
+X18687Y23437D3*
+D28*
+X14711D3*
+D30*
+X17937Y22437D3*
+D28*
+X13961D3*
+D30*
+X17187Y21437D3*
+D28*
+X13211D3*
+D30*
+X16437Y20437D3*
+D28*
+X12461D3*
+D30*
+X19437Y19437D3*
+D28*
+X15461D3*
+D30*
+X18687Y18437D3*
+D28*
+X14711D3*
+D30*
+X17937Y17437D3*
+D28*
+X13961D3*
+D30*
+X17187Y16437D3*
+D28*
+X13211D3*
+D30*
+X16437Y15437D3*
+D28*
+X12461D3*
+D30*
+X19437Y14437D3*
+D28*
+X15461D3*
+D30*
+X18687Y13437D3*
+D28*
+X14711D3*
+D30*
+X17937Y12437D3*
+D28*
+X13961D3*
+D30*
+X17187Y11437D3*
+D28*
+X13211D3*
+D30*
+X16437Y10437D3*
+D28*
+X12461D3*
+D24*
+X23437Y33937D3*
+X5437D3*
+X23437Y5437D3*
+X5437D3*
+M02*
diff --git a/gerbonara/tests/resources/diptrace/keyboard_BottomSilk.gbr b/gerbonara/tests/resources/diptrace/keyboard_BottomSilk.gbr
new file mode 100644
index 0000000..e9d521c
--- /dev/null
+++ b/gerbonara/tests/resources/diptrace/keyboard_BottomSilk.gbr
@@ -0,0 +1,264 @@
+G04 DipTrace 2.4.0.1*
+%INBottomSilk.gbr*%
+%MOIN*%
+%ADD40C,0.0139*%
+%FSLAX44Y44*%
+G04*
+G70*
+G90*
+G75*
+G01*
+%LNBotSilk*%
+%LPD*%
+X18372Y34194D2*
+D40*
+X18415Y34280D1*
+X18502Y34366D1*
+X18587Y34409D1*
+X18759D1*
+X18846Y34366D1*
+X18932Y34280D1*
+X18975Y34194D1*
+X19018Y34065D1*
+Y33849D1*
+X18975Y33721D1*
+X18932Y33634D1*
+X18846Y33548D1*
+X18759Y33505D1*
+X18587D1*
+X18502Y33548D1*
+X18415Y33634D1*
+X18372Y33721D1*
+X17880Y34108D2*
+X17965Y34065D1*
+X18052Y33978D1*
+X18095Y33849D1*
+Y33763D1*
+X18052Y33634D1*
+X17965Y33548D1*
+X17880Y33505D1*
+X17750D1*
+X17664Y33548D1*
+X17578Y33634D1*
+X17534Y33763D1*
+Y33849D1*
+X17578Y33978D1*
+X17664Y34065D1*
+X17750Y34108D1*
+X17880D1*
+X16740Y34409D2*
+Y33505D1*
+Y33978D2*
+X16826Y34065D1*
+X16912Y34108D1*
+X17042D1*
+X17127Y34065D1*
+X17214Y33978D1*
+X17257Y33849D1*
+Y33763D1*
+X17214Y33634D1*
+X17127Y33548D1*
+X17042Y33505D1*
+X16912D1*
+X16826Y33548D1*
+X16740Y33634D1*
+X16462Y33849D2*
+X15946D1*
+Y33935D1*
+X15989Y34022D1*
+X16032Y34065D1*
+X16118Y34108D1*
+X16247D1*
+X16333Y34065D1*
+X16420Y33978D1*
+X16462Y33849D1*
+Y33763D1*
+X16420Y33634D1*
+X16333Y33548D1*
+X16247Y33505D1*
+X16118D1*
+X16032Y33548D1*
+X15946Y33634D1*
+X14793Y33935D2*
+X14405D1*
+X14277Y33978D1*
+X14233Y34022D1*
+X14190Y34108D1*
+Y34237D1*
+X14233Y34322D1*
+X14277Y34366D1*
+X14405Y34409D1*
+X14793D1*
+Y33505D1*
+X13396Y34108D2*
+Y33505D1*
+Y33978D2*
+X13482Y34065D1*
+X13568Y34108D1*
+X13697D1*
+X13783Y34065D1*
+X13869Y33978D1*
+X13912Y33849D1*
+Y33763D1*
+X13869Y33634D1*
+X13783Y33548D1*
+X13697Y33505D1*
+X13568D1*
+X13482Y33548D1*
+X13396Y33634D1*
+X13118Y34409D2*
+X13075Y34366D1*
+X13032Y34409D1*
+X13075Y34453D1*
+X13118Y34409D1*
+X13075Y34108D2*
+Y33505D1*
+X12754Y34108D2*
+Y33505D1*
+Y33935D2*
+X12624Y34065D1*
+X12538Y34108D1*
+X12410D1*
+X12323Y34065D1*
+X12280Y33935D1*
+Y33505D1*
+X11873Y34409D2*
+Y33677D1*
+X11830Y33548D1*
+X11744Y33505D1*
+X11658D1*
+X12002Y34108D2*
+X11701D1*
+X11380Y33849D2*
+X10864D1*
+Y33935D1*
+X10907Y34022D1*
+X10949Y34065D1*
+X11036Y34108D1*
+X11165D1*
+X11251Y34065D1*
+X11337Y33978D1*
+X11380Y33849D1*
+Y33763D1*
+X11337Y33634D1*
+X11251Y33548D1*
+X11165Y33505D1*
+X11036D1*
+X10949Y33548D1*
+X10864Y33634D1*
+X10586Y34108D2*
+Y33505D1*
+Y33849D2*
+X10542Y33978D1*
+X10457Y34065D1*
+X10370Y34108D1*
+X10241D1*
+X9489Y33978D2*
+X9532Y34065D1*
+X9661Y34108D1*
+X9791D1*
+X9920Y34065D1*
+X9963Y33978D1*
+X9920Y33893D1*
+X9834Y33849D1*
+X9619Y33806D1*
+X9532Y33763D1*
+X9489Y33677D1*
+Y33634D1*
+X9532Y33548D1*
+X9661Y33505D1*
+X9791D1*
+X9920Y33548D1*
+X9963Y33634D1*
+X18027Y32639D2*
+X18587D1*
+Y31735D1*
+Y32208D2*
+X18243D1*
+X17749Y32639D2*
+Y31735D1*
+X17447D1*
+X17318Y31778D1*
+X17231Y31864D1*
+X17189Y31951D1*
+X17146Y32079D1*
+Y32295D1*
+X17189Y32424D1*
+X17231Y32510D1*
+X17318Y32596D1*
+X17447Y32639D1*
+X17749D1*
+X16868Y32466D2*
+X16781Y32510D1*
+X16652Y32638D1*
+Y31735D1*
+X15499Y32639D2*
+Y31735D1*
+X15069Y32338D2*
+X15499Y31907D1*
+X15327Y32079D2*
+X15026Y31735D1*
+X14748Y32639D2*
+Y31735D1*
+Y32208D2*
+X14661Y32295D1*
+X14576Y32338D1*
+X14446D1*
+X14361Y32295D1*
+X14274Y32208D1*
+X14232Y32079D1*
+Y31993D1*
+X14274Y31864D1*
+X14361Y31778D1*
+X14446Y31735D1*
+X14576D1*
+X14661Y31778D1*
+X14748Y31864D1*
+X13437Y32639D2*
+Y31735D1*
+Y32208D2*
+X13523Y32295D1*
+X13609Y32338D1*
+X13739D1*
+X13824Y32295D1*
+X13911Y32208D1*
+X13954Y32079D1*
+Y31993D1*
+X13911Y31864D1*
+X13824Y31778D1*
+X13739Y31735D1*
+X13609D1*
+X13523Y31778D1*
+X13437Y31864D1*
+X12285Y32338D2*
+X12026Y31735D1*
+X11768Y32338D1*
+X11490Y32466D2*
+X11404Y32510D1*
+X11275Y32638D1*
+Y31735D1*
+X10954Y31821D2*
+X10997Y31777D1*
+X10954Y31735D1*
+X10910Y31777D1*
+X10954Y31821D1*
+X10374Y32638D2*
+X10503Y32595D1*
+X10589Y32466D1*
+X10632Y32251D1*
+Y32122D1*
+X10589Y31907D1*
+X10503Y31777D1*
+X10374Y31735D1*
+X10288D1*
+X10159Y31777D1*
+X10073Y31907D1*
+X10029Y32122D1*
+Y32251D1*
+X10073Y32466D1*
+X10159Y32595D1*
+X10288Y32638D1*
+X10374D1*
+X10073Y32466D2*
+X10589Y31907D1*
+M02*
diff --git a/gerbonara/tests/resources/diptrace/mainboard.drl b/gerbonara/tests/resources/diptrace/mainboard.drl
new file mode 100644
index 0000000..f01c868
--- /dev/null
+++ b/gerbonara/tests/resources/diptrace/mainboard.drl
@@ -0,0 +1,199 @@
+M48
+INCH
+T01C0.0157
+T02C0.0197
+T03C0.0217
+T04C0.0315
+T05C0.035
+T06C0.0354
+T07C0.0394
+T08C0.0433
+T09C0.0472
+T10C0.0551
+T11C0.0669
+T12C0.0945
+T13C0.126
+%
+T01
+X+026814Y+011188
+X+026187Y+011187
+X+027690Y+010500
+X+028127Y+010938
+X+028502Y+011313
+X+029815Y+010500
+X+030690Y+010875
+X+031127Y+011313
+X+026814Y+007500
+X+026187Y+007500
+X+023189Y+009500
+X+022376Y+009500
+X+022001Y+009938
+X+021564Y+010250
+X+022376Y+010938
+X+022751Y+010625
+X+023189Y+010750
+X+022064Y+011313
+X+021251Y+011313
+X+021501Y+012250
+X+020126Y+011313
+X+019689Y+010250
+X+018439Y+012250
+X+010937Y+012187
+X+011000Y+011188
+T02
+X+023562Y+014687
+X+024314Y+014188
+X+024062Y+013562
+X+024062Y+013000
+X+023187Y+013000
+X+022564Y+014001
+X+022625Y+014687
+X+020876Y+015751
+X+019627Y+014188
+X+017376Y+013938
+X+015937Y+013937
+X+015937Y+016437
+X+011437Y+014187
+X+010187Y+015187
+X+008687Y+015187
+X+009437Y+013250
+X+009187Y+012187
+X+009687Y+012187
+X+009687Y+011687
+X+009688Y+011188
+X+009187Y+011187
+X+009187Y+011687
+X+008187Y+010625
+X+006937Y+010187
+X+005687Y+010187
+X+004500Y+010937
+X+004500Y+012437
+X+004500Y+013937
+X+004500Y+015437
+X+005437Y+019187
+X+008312Y+018251
+X+009562Y+018249
+X+009562Y+020687
+X+008312Y+020687
+X+008937Y+023437
+X+010437Y+023437
+X+006937Y+023437
+X+014437Y+021437
+X+017437Y+021437
+X+022500Y+020562
+X+026437Y+018437
+X+027437Y+018437
+X+026937Y+019437
+X+026937Y+022000
+X+026752Y+015251
+X+029752Y+015313
+X+029752Y+014813
+X+031690Y+016376
+X+034187Y+014687
+X+035937Y+014687
+X+035937Y+016687
+X+036187Y+021437
+X+032687Y+013187
+X+029002Y+012000
+X+027127Y+012000
+X+024752Y+010938
+X+023377Y+011250
+X+024187Y+007187
+X+028687Y+007187
+X+030937Y+007187
+X+032937Y+007187
+X+030937Y+005187
+X+019064Y+011750
+X+016937Y+007375
+X+016937Y+005625
+X+013937Y+005625
+X+013937Y+007375
+X+010937Y+007375
+X+010937Y+005625
+X+007937Y+005625
+X+007937Y+007375
+X+004500Y+009437
+X+011569Y+010444
+T03
+X+031062Y+021437
+X+030471Y+021437
+X+029881Y+021437
+X+030176Y+020846
+X+030767Y+020846
+X+031357Y+020846
+X+031948Y+020846
+X+032538Y+020846
+X+032243Y+020256
+X+031653Y+020256
+X+031062Y+020256
+X+030471Y+020256
+X+029881Y+020256
+X+029290Y+020256
+X+029586Y+020846
+X+031653Y+021437
+X+032243Y+021437
+X+032834Y+021437
+X+032834Y+020256
+T04
+X+009063Y+016813
+X+010047Y+016813
+T05
+X+023923Y+022632
+X+022191Y+022632
+T06
+X+022001Y+005187
+X+022001Y+005975
+X+022789Y+005975
+X+022789Y+005187
+X+023576Y+005187
+X+023576Y+005975
+X+024364Y+005975
+X+024364Y+005187
+X+025151Y+005187
+X+025151Y+005975
+X+035000Y+008850
+X+035000Y+009637
+X+035000Y+010425
+X+035787Y+010425
+X+035787Y+011212
+X+035000Y+011212
+X+035000Y+012000
+X+035787Y+012000
+X+035787Y+009637
+X+035787Y+008850
+T07
+X+008937Y+019437
+X+007087Y+020618
+X+008937Y+021799
+T08
+X+034251Y+023524
+X+028070Y+023524
+X+028627Y+005125
+X+029627Y+005125
+X+007187Y+016937
+X+007187Y+017937
+T09
+X+005375Y+016813
+X+005375Y+021164
+T10
+X+018937Y+020000
+X+017953Y+019015
+X+015984Y+020000
+X+014016Y+019015
+X+013031Y+020000
+X+014016Y+023937
+X+015000Y+023937
+X+016969Y+023937
+X+017953Y+023937
+T11
+X+031160Y+022815
+T12
+X+018937Y+021968
+X+013031Y+021968
+T13
+X+005312Y+023562
+X+005312Y+005312
+X+036187Y+005312
+X+036187Y+023562
+T00
+M30
diff --git a/gerbonara/tests/resources/diptrace/mainboard_BoardOutline.gbr b/gerbonara/tests/resources/diptrace/mainboard_BoardOutline.gbr
new file mode 100644
index 0000000..cfd7dff
--- /dev/null
+++ b/gerbonara/tests/resources/diptrace/mainboard_BoardOutline.gbr
@@ -0,0 +1,19 @@
+G04 DipTrace 2.4.0.2*
+%INmainboard_BoardOutline.gbr*%
+%MOIN*%
+%ADD11C,0.0055*%
+%FSLAX44Y44*%
+G04*
+G70*
+G90*
+G75*
+G01*
+%LNBoardOutline*%
+%LPD*%
+X3937Y24937D2*
+D11*
+Y3937D1*
+X37500D1*
+Y24937D1*
+X3937D1*
+M02*
diff --git a/gerbonara/tests/resources/diptrace/mainboard_Bottom.gbr b/gerbonara/tests/resources/diptrace/mainboard_Bottom.gbr
new file mode 100644
index 0000000..30c4566
--- /dev/null
+++ b/gerbonara/tests/resources/diptrace/mainboard_Bottom.gbr
@@ -0,0 +1,2336 @@
+G04 DipTrace 2.4.0.2*
+%INmainboard_Bottom.gbr*%
+%MOIN*%
+%ADD13C,0.03*%
+%ADD15C,0.022*%
+%ADD18C,0.01*%
+%ADD19C,0.02*%
+%ADD20C,0.04*%
+%ADD22C,0.024*%
+%ADD27C,0.05*%
+%ADD28C,0.07*%
+%ADD30C,0.025*%
+%ADD31R,0.0531X0.0531*%
+%ADD32C,0.0531*%
+%ADD39R,0.0945X0.0945*%
+%ADD40C,0.0945*%
+%ADD50O,0.1969X0.0787*%
+%ADD51O,0.1772X0.0787*%
+%ADD52O,0.0787X0.1772*%
+%ADD53C,0.1063*%
+%ADD58C,0.0472*%
+%ADD59C,0.0787*%
+%ADD60R,0.0591X0.0591*%
+%ADD61C,0.063*%
+%ADD62R,0.0787X0.0787*%
+%ADD63C,0.0787*%
+%ADD71C,0.034*%
+%FSLAX44Y44*%
+G04*
+G70*
+G90*
+G75*
+G01*
+%LNBottom*%
+%LPD*%
+X23377Y11250D2*
+D13*
+X22751D1*
+X22439Y11563D1*
+Y11750D1*
+Y13125D1*
+X23502Y14188D1*
+X24314D1*
+X22439Y11750D2*
+X19064D1*
+X22500Y20562D2*
+D15*
+X22459Y20540D1*
+X26937D1*
+Y20846D1*
+Y22000D1*
+X14016Y23937D2*
+D13*
+X15000D1*
+X15984D1*
+X16969D1*
+X17953D1*
+X15984Y20000D2*
+Y20937D1*
+Y23937D1*
+X15937Y16437D2*
+D22*
+Y19187D1*
+X15984Y19140D1*
+Y20000D1*
+X15937Y13937D2*
+D13*
+Y14188D1*
+Y16437D1*
+X22500Y20562D2*
+D15*
+X20750D1*
+X20375Y20937D1*
+X20250D1*
+D13*
+X17437D1*
+X15984D1*
+X10047Y16813D2*
+D15*
+X9937Y16704D1*
+Y15187D1*
+Y13625D1*
+X9562Y13250D1*
+X9437D1*
+X11569Y10444D2*
+X11500Y10375D1*
+X9750D1*
+X9437Y10687D1*
+Y11188D1*
+Y11687D1*
+Y13250D1*
+X26937Y20540D2*
+D13*
+X28252D1*
+Y15251D1*
+X28690Y14813D1*
+X29752D1*
+X29586Y20846D2*
+X30176D1*
+X30767D1*
+X31357D2*
+X30767D1*
+X31948D2*
+X31357D1*
+X32538D2*
+X31948D1*
+X28070Y23524D2*
+X34251D1*
+X29586Y20846D2*
+X28070D1*
+Y23524D1*
+Y20846D2*
+X26937D1*
+X25151Y5187D2*
+X24364D1*
+X23576D1*
+X22789D1*
+X25151D2*
+Y4725D1*
+X25627Y4250D1*
+X28190D1*
+X28627Y4687D1*
+Y4749D1*
+Y5125D1*
+X24062Y13562D2*
+D18*
+X25126D1*
+X26814Y15251D1*
+X26752D1*
+X28627Y4749D2*
+D13*
+X29189Y4187D1*
+X31815D1*
+X34940Y7312D1*
+X36378D1*
+X36690Y7625D1*
+Y9313D1*
+X36378Y9625D1*
+X35799D1*
+X35787Y9637D1*
+X11569Y10444D2*
+Y8931D1*
+X12250Y8250D1*
+X19439D1*
+X19939Y7750D1*
+Y4562D1*
+X20189Y4312D1*
+X22439D1*
+X22751Y4625D1*
+Y5150D1*
+X22789Y5187D1*
+X19627Y14188D2*
+X15937D1*
+X24752Y10938D2*
+Y12875D1*
+X24189D1*
+Y13000D1*
+Y13689D1*
+X24062Y13562D1*
+X26814Y15251D2*
+X28252D1*
+X23187Y13000D2*
+X24189D1*
+X9688Y11188D2*
+D15*
+X9437D1*
+X9688D2*
+D13*
+Y11687D1*
+X9687D1*
+X9187Y12187D2*
+Y11687D1*
+Y11187D2*
+Y11687D1*
+X9437D1*
+X9687Y12187D2*
+Y11687D1*
+X24062Y13000D2*
+X24189D1*
+X8937Y23437D2*
+D15*
+Y23937D1*
+X14015D1*
+X14016D1*
+X10187Y15187D2*
+X9937D1*
+X17437Y21437D2*
+D13*
+Y20937D1*
+X26814Y11188D2*
+D18*
+X26689D1*
+X26502Y11000D1*
+Y7812D1*
+X26814Y7500D1*
+X21501Y12250D2*
+X21439D1*
+X21314Y12125D1*
+X19376D1*
+X19314Y12188D1*
+X18501D1*
+X18439Y12250D1*
+X10937Y12187D2*
+D19*
+Y11439D1*
+X11000Y11375D1*
+Y11188D1*
+X5375Y16813D2*
+D27*
+X7063D1*
+X7187Y16937D1*
+X9063Y16813D2*
+X7311D1*
+X7187Y16937D1*
+X8937Y21799D2*
+D28*
+X8922Y21814D1*
+X7687D1*
+X7087Y21213D1*
+Y20618D1*
+X7187Y17937D2*
+Y18814D1*
+X7087Y18914D1*
+Y20618D1*
+X26187Y11187D2*
+D18*
+Y7500D1*
+X21251Y11313D2*
+X20126D1*
+X21564Y10250D2*
+X19689D1*
+X22376Y9500D2*
+Y10938D1*
+X30690Y10875D2*
+Y10938D1*
+X28127D1*
+X31127Y11313D2*
+X30502D1*
+X30377Y11188D1*
+X28627D1*
+X28502Y11313D1*
+X22001Y9938D2*
+Y11250D1*
+X22064Y11313D1*
+X23189Y10750D2*
+Y9500D1*
+X29815Y10500D2*
+X29690Y10625D1*
+X28377D1*
+X28252Y10500D1*
+X27690D1*
+X22751Y10625D2*
+Y7750D1*
+X22001Y7000D1*
+Y5975D1*
+D20*
+X23377Y11250D3*
+X24314Y14188D3*
+X19064Y11750D3*
+X26937Y22000D3*
+X15937Y16437D3*
+Y13937D3*
+Y16437D3*
+X9437Y13250D3*
+X11569Y10444D3*
+X29752Y14813D3*
+X24062Y13562D3*
+X26752Y15251D3*
+X11569Y10444D3*
+X19627Y14188D3*
+X24752Y10938D3*
+X24062Y13562D3*
+X23187Y13000D3*
+D71*
+X26814Y11188D3*
+Y7500D3*
+X21501Y12250D3*
+X18439D3*
+X10937Y12187D3*
+X11000Y11188D3*
+X26187Y11187D3*
+Y7500D3*
+X21251Y11313D3*
+X20126D3*
+X21564Y10250D3*
+X19689D3*
+X22376Y9500D3*
+Y10938D3*
+X30690Y10875D3*
+X28127Y10938D3*
+X31127Y11313D3*
+X28502D3*
+X22001Y9938D3*
+X22064Y11313D3*
+X23189Y10750D3*
+Y9500D3*
+X29815Y10500D3*
+X27690D3*
+X22751Y10625D3*
+D20*
+X23562Y14687D3*
+X22625D3*
+X22564Y14001D3*
+X24062Y13000D3*
+X24187Y7187D3*
+X22500Y20562D3*
+X9187Y12187D3*
+X9687D3*
+X9187Y11687D3*
+X9687D3*
+X9187Y11187D3*
+X9688Y11188D3*
+X27437Y18437D3*
+X26937Y19437D3*
+X26437Y18437D3*
+X28687Y7187D3*
+X29752Y15313D3*
+X30937Y7187D3*
+X32937D3*
+X31690Y16376D3*
+X30937Y5187D3*
+X36187Y21437D3*
+X35937Y16687D3*
+Y14687D3*
+X34187D3*
+X32687Y13187D3*
+X20876Y15751D3*
+X6937Y23437D3*
+X8937D3*
+X10437D3*
+X5437Y19187D3*
+X8312Y20687D3*
+X8687Y15187D3*
+X10187D3*
+X11437Y14187D3*
+X4500Y15437D3*
+Y13937D3*
+Y12437D3*
+Y10937D3*
+Y9437D3*
+X5687Y10187D3*
+X6937D3*
+X8187Y10625D3*
+X7937Y7375D3*
+Y5625D3*
+X10937D3*
+X13937D3*
+X10937Y7375D3*
+X13937D3*
+X16937D3*
+Y5625D3*
+X14437Y21437D3*
+X17437D3*
+X9562Y20687D3*
+X17376Y13938D3*
+X8312Y18251D3*
+X9562Y18249D3*
+X27127Y12000D3*
+X29002D3*
+X4087Y24566D2*
+D30*
+X37351D1*
+X4087Y24317D2*
+X4691D1*
+X5933D2*
+X35566D1*
+X36808D2*
+X37351D1*
+X4087Y24068D2*
+X4469D1*
+X6155D2*
+X35344D1*
+X37030D2*
+X37351D1*
+X4087Y23819D2*
+X4359D1*
+X6265D2*
+X35234D1*
+X37140D2*
+X37351D1*
+X4087Y23571D2*
+X4324D1*
+X6300D2*
+X35199D1*
+X37175D2*
+X37351D1*
+X4087Y23322D2*
+X4355D1*
+X6269D2*
+X30703D1*
+X31616D2*
+X35230D1*
+X37144D2*
+X37351D1*
+X4087Y23073D2*
+X4457D1*
+X6167D2*
+X21918D1*
+X22464D2*
+X23648D1*
+X24198D2*
+X30519D1*
+X31800D2*
+X35332D1*
+X37042D2*
+X37351D1*
+X4087Y22825D2*
+X4668D1*
+X5956D2*
+X21695D1*
+X22687D2*
+X23430D1*
+X24417D2*
+X30469D1*
+X31851D2*
+X35543D1*
+X36831D2*
+X37351D1*
+X4087Y22576D2*
+X12480D1*
+X13581D2*
+X18387D1*
+X19487D2*
+X21664D1*
+X22718D2*
+X23394D1*
+X24452D2*
+X30512D1*
+X31808D2*
+X37351D1*
+X4087Y22327D2*
+X7215D1*
+X9952D2*
+X12289D1*
+X13776D2*
+X18191D1*
+X19683D2*
+X21762D1*
+X22620D2*
+X23492D1*
+X24355D2*
+X30680D1*
+X31640D2*
+X37351D1*
+X4087Y22079D2*
+X6965D1*
+X10124D2*
+X12211D1*
+X13855D2*
+X18117D1*
+X19757D2*
+X37351D1*
+X4087Y21830D2*
+X6715D1*
+X10179D2*
+X12215D1*
+X13847D2*
+X18121D1*
+X19753D2*
+X29445D1*
+X33269D2*
+X37351D1*
+X4087Y21581D2*
+X6488D1*
+X10148D2*
+X12301D1*
+X13761D2*
+X18207D1*
+X19667D2*
+X29308D1*
+X33409D2*
+X37351D1*
+X4087Y21333D2*
+X6371D1*
+X10011D2*
+X12516D1*
+X13550D2*
+X18418D1*
+X19456D2*
+X29297D1*
+X33417D2*
+X37351D1*
+X4087Y21084D2*
+X6336D1*
+X7948D2*
+X8301D1*
+X9573D2*
+X29410D1*
+X33304D2*
+X37351D1*
+X4087Y20835D2*
+X6336D1*
+X7839D2*
+X12789D1*
+X13273D2*
+X18695D1*
+X19179D2*
+X37351D1*
+X4087Y20587D2*
+X6336D1*
+X7839D2*
+X12375D1*
+X13691D2*
+X18277D1*
+X19597D2*
+X28805D1*
+X33319D2*
+X37351D1*
+X4087Y20338D2*
+X6336D1*
+X7839D2*
+X12211D1*
+X13851D2*
+X18117D1*
+X19757D2*
+X28703D1*
+X33421D2*
+X37351D1*
+X4087Y20089D2*
+X6336D1*
+X7835D2*
+X12148D1*
+X13913D2*
+X18055D1*
+X19819D2*
+X28723D1*
+X33401D2*
+X37351D1*
+X4087Y19840D2*
+X6379D1*
+X7792D2*
+X12156D1*
+X14296D2*
+X17676D1*
+X19812D2*
+X28879D1*
+X33245D2*
+X37351D1*
+X4087Y19592D2*
+X6379D1*
+X7792D2*
+X12246D1*
+X14683D2*
+X17285D1*
+X19722D2*
+X37351D1*
+X4087Y19343D2*
+X6379D1*
+X7792D2*
+X12445D1*
+X14839D2*
+X17129D1*
+X19523D2*
+X37351D1*
+X4087Y19094D2*
+X6379D1*
+X7835D2*
+X13133D1*
+X14901D2*
+X17066D1*
+X18839D2*
+X37351D1*
+X4087Y18846D2*
+X6383D1*
+X7894D2*
+X13144D1*
+X14886D2*
+X17082D1*
+X18823D2*
+X37351D1*
+X4087Y18597D2*
+X6457D1*
+X7894D2*
+X13234D1*
+X14796D2*
+X17172D1*
+X18733D2*
+X37351D1*
+X4087Y18348D2*
+X6480D1*
+X7894D2*
+X13441D1*
+X14589D2*
+X17379D1*
+X18526D2*
+X37351D1*
+X4087Y18100D2*
+X6457D1*
+X7917D2*
+X37351D1*
+X4087Y17851D2*
+X6441D1*
+X7933D2*
+X37351D1*
+X4087Y17602D2*
+X4547D1*
+X6202D2*
+X6437D1*
+X7937D2*
+X37351D1*
+X4087Y17354D2*
+X4547D1*
+X9687D2*
+X37351D1*
+X4087Y17105D2*
+X4547D1*
+X9687D2*
+X37351D1*
+X4087Y16856D2*
+X4547D1*
+X9687D2*
+X37351D1*
+X4087Y16608D2*
+X4547D1*
+X9687D2*
+X37351D1*
+X4087Y16359D2*
+X4547D1*
+X9687D2*
+X37351D1*
+X4087Y16110D2*
+X4547D1*
+X6202D2*
+X37351D1*
+X4087Y15861D2*
+X37351D1*
+X4087Y15613D2*
+X37351D1*
+X4087Y15364D2*
+X37351D1*
+X4087Y15115D2*
+X37351D1*
+X4087Y14867D2*
+X37351D1*
+X4087Y14618D2*
+X23258D1*
+X24651D2*
+X37351D1*
+X4087Y14369D2*
+X22976D1*
+X24839D2*
+X37351D1*
+X4087Y14121D2*
+X22726D1*
+X24866D2*
+X37351D1*
+X4087Y13872D2*
+X22480D1*
+X24765D2*
+X37351D1*
+X4087Y13623D2*
+X22230D1*
+X23644D2*
+X37351D1*
+X4087Y13375D2*
+X22000D1*
+X23394D2*
+X37351D1*
+X4087Y13126D2*
+X21933D1*
+X23148D2*
+X37351D1*
+X4087Y12877D2*
+X21933D1*
+X22944D2*
+X37351D1*
+X4087Y12629D2*
+X10672D1*
+X11202D2*
+X18082D1*
+X18792D2*
+X21148D1*
+X21855D2*
+X21932D1*
+X22944D2*
+X35613D1*
+X35964D2*
+X37351D1*
+X4087Y12380D2*
+X10449D1*
+X11425D2*
+X17930D1*
+X22944D2*
+X34348D1*
+X36335D2*
+X37351D1*
+X4087Y12131D2*
+X10414D1*
+X11460D2*
+X17926D1*
+X22944D2*
+X34348D1*
+X36444D2*
+X37351D1*
+X4087Y11882D2*
+X10480D1*
+X11394D2*
+X18074D1*
+X22944D2*
+X34348D1*
+X36448D2*
+X37351D1*
+X4087Y11634D2*
+X10480D1*
+X11394D2*
+X18519D1*
+X23769D2*
+X25933D1*
+X26441D2*
+X26558D1*
+X27069D2*
+X28090D1*
+X28913D2*
+X30269D1*
+X31538D2*
+X34348D1*
+X36347D2*
+X37351D1*
+X4087Y11385D2*
+X10484D1*
+X11487D2*
+X18652D1*
+X23917D2*
+X25699D1*
+X27300D2*
+X27875D1*
+X31648D2*
+X34348D1*
+X36437D2*
+X37351D1*
+X4087Y11136D2*
+X10476D1*
+X11523D2*
+X19633D1*
+X23921D2*
+X25664D1*
+X27339D2*
+X27641D1*
+X31620D2*
+X34332D1*
+X36452D2*
+X37351D1*
+X4087Y10888D2*
+X10574D1*
+X11429D2*
+X19836D1*
+X20417D2*
+X20961D1*
+X23792D2*
+X25758D1*
+X27241D2*
+X27346D1*
+X31417D2*
+X34414D1*
+X36370D2*
+X37351D1*
+X4087Y10639D2*
+X19348D1*
+X20030D2*
+X21223D1*
+X23702D2*
+X25781D1*
+X26909D2*
+X27183D1*
+X31159D2*
+X34363D1*
+X36421D2*
+X37351D1*
+X4087Y10390D2*
+X19183D1*
+X23597D2*
+X25781D1*
+X26909D2*
+X27176D1*
+X30331D2*
+X30535D1*
+X30843D2*
+X34328D1*
+X36456D2*
+X37351D1*
+X4087Y10142D2*
+X19176D1*
+X23597D2*
+X25781D1*
+X26909D2*
+X27312D1*
+X28409D2*
+X29437D1*
+X30191D2*
+X34394D1*
+X36394D2*
+X37351D1*
+X4087Y9893D2*
+X19312D1*
+X23597D2*
+X25781D1*
+X26909D2*
+X34379D1*
+X36179D2*
+X37351D1*
+X4087Y9644D2*
+X21570D1*
+X23694D2*
+X25781D1*
+X26909D2*
+X34328D1*
+X35671D2*
+X37351D1*
+X4087Y9396D2*
+X21859D1*
+X23706D2*
+X25781D1*
+X26909D2*
+X34375D1*
+X36159D2*
+X37351D1*
+X4087Y9147D2*
+X21996D1*
+X23569D2*
+X25781D1*
+X26909D2*
+X34398D1*
+X36386D2*
+X37351D1*
+X4087Y8898D2*
+X22344D1*
+X23159D2*
+X25781D1*
+X26909D2*
+X34328D1*
+X36456D2*
+X37351D1*
+X4087Y8650D2*
+X22344D1*
+X23159D2*
+X25781D1*
+X26909D2*
+X34359D1*
+X36425D2*
+X37351D1*
+X4087Y8401D2*
+X22344D1*
+X23159D2*
+X25781D1*
+X26909D2*
+X34508D1*
+X36276D2*
+X37351D1*
+X4087Y8152D2*
+X22344D1*
+X23159D2*
+X25781D1*
+X26909D2*
+X37351D1*
+X4087Y7903D2*
+X22340D1*
+X23159D2*
+X25781D1*
+X27136D2*
+X37351D1*
+X4087Y7655D2*
+X22090D1*
+X23148D2*
+X25683D1*
+X27316D2*
+X37351D1*
+X4087Y7406D2*
+X21844D1*
+X22972D2*
+X25668D1*
+X27331D2*
+X37351D1*
+X4087Y7157D2*
+X21629D1*
+X22726D2*
+X25797D1*
+X27206D2*
+X37351D1*
+X4087Y6909D2*
+X21594D1*
+X22476D2*
+X37351D1*
+X4087Y6660D2*
+X21594D1*
+X22409D2*
+X37351D1*
+X4087Y6411D2*
+X21500D1*
+X25651D2*
+X37351D1*
+X4087Y6163D2*
+X4840D1*
+X5784D2*
+X21359D1*
+X25796D2*
+X35715D1*
+X36659D2*
+X37351D1*
+X4087Y5914D2*
+X4539D1*
+X6089D2*
+X21332D1*
+X25819D2*
+X35410D1*
+X36964D2*
+X37351D1*
+X4087Y5665D2*
+X4394D1*
+X6233D2*
+X21348D1*
+X25745D2*
+X28875D1*
+X30378D2*
+X35269D1*
+X37105D2*
+X37351D1*
+X4087Y5417D2*
+X4332D1*
+X6292D2*
+X21348D1*
+X23140D2*
+X23222D1*
+X23929D2*
+X24010D1*
+X24714D2*
+X24800D1*
+X25503D2*
+X28875D1*
+X30378D2*
+X35207D1*
+X37167D2*
+X37351D1*
+X4087Y5168D2*
+X4336D1*
+X6288D2*
+X21348D1*
+X22651D2*
+X28875D1*
+X30378D2*
+X35211D1*
+X37163D2*
+X37351D1*
+X4087Y4919D2*
+X4410D1*
+X6214D2*
+X21348D1*
+X22651D2*
+X28875D1*
+X30378D2*
+X35285D1*
+X37089D2*
+X37351D1*
+X4087Y4671D2*
+X4570D1*
+X6054D2*
+X21348D1*
+X22651D2*
+X28875D1*
+X30378D2*
+X35445D1*
+X36929D2*
+X37351D1*
+X4087Y4422D2*
+X4926D1*
+X5702D2*
+X28875D1*
+X30378D2*
+X35797D1*
+X36577D2*
+X37351D1*
+X4087Y4173D2*
+X37351D1*
+X8590Y17411D2*
+X9660D1*
+Y16216D1*
+X8465D1*
+Y16235D1*
+X8063Y16232D1*
+X7910D1*
+X7913Y16211D1*
+X6461D1*
+Y16231D1*
+X6177Y16232D1*
+X6179Y16009D1*
+X4570D1*
+Y17618D1*
+X6179D1*
+Y17395D1*
+X6465D1*
+X6461Y17663D1*
+X6515Y17664D1*
+X6478Y17784D1*
+X6462Y17908D1*
+X6468Y18032D1*
+X6505Y18187D1*
+Y18558D1*
+X6450Y18669D1*
+X6422Y18760D1*
+X6405Y18914D1*
+Y19879D1*
+X6377Y19973D1*
+X6361Y20126D1*
+X6367Y21206D1*
+X6394Y21327D1*
+X6457Y21475D1*
+X6515Y21585D1*
+X6604Y21695D1*
+X7205Y22296D1*
+X7301Y22376D1*
+X7410Y22437D1*
+X7528Y22477D1*
+X7687Y22496D1*
+X8246D1*
+X8401Y22523D1*
+X9429Y22525D1*
+X9510Y22520D1*
+X9632Y22496D1*
+X9749Y22451D1*
+X9856Y22386D1*
+X9950Y22304D1*
+X10029Y22208D1*
+X10090Y22099D1*
+X10132Y21981D1*
+X10155Y21799D1*
+X10144Y21675D1*
+X10112Y21554D1*
+X10060Y21441D1*
+X9989Y21338D1*
+X9902Y21249D1*
+X9800Y21176D1*
+X9688Y21121D1*
+X9568Y21087D1*
+X9429Y21074D1*
+X8445Y21078D1*
+X8335Y21082D1*
+X8167Y21132D1*
+X7973D1*
+X7813Y20975D1*
+X7808Y20126D1*
+X7801Y20002D1*
+X7768Y19868D1*
+Y19169D1*
+X7824Y19059D1*
+X7852Y18968D1*
+X7869Y18814D1*
+Y18185D1*
+X7910Y17996D1*
+X7902Y17813D1*
+X7855Y17660D1*
+X7913Y17663D1*
+Y17393D1*
+X8463Y17395D1*
+X8465Y17411D1*
+X8590D1*
+X19791Y19875D2*
+X19764Y19753D1*
+X19720Y19636D1*
+X19660Y19527D1*
+X19584Y19428D1*
+X19495Y19340D1*
+X19394Y19267D1*
+X19283Y19209D1*
+X19165Y19167D1*
+X19043Y19143D1*
+X18918Y19136D1*
+X18805Y19147D1*
+X18816Y19015D1*
+X18807Y18891D1*
+X18780Y18769D1*
+X18736Y18652D1*
+X18675Y18543D1*
+X18600Y18443D1*
+X18510Y18356D1*
+X18409Y18283D1*
+X18299Y18224D1*
+X18181Y18183D1*
+X18059Y18158D1*
+X17934Y18152D1*
+X17810Y18164D1*
+X17688Y18193D1*
+X17572Y18240D1*
+X17465Y18303D1*
+X17367Y18381D1*
+X17282Y18472D1*
+X17210Y18575D1*
+X17154Y18686D1*
+X17115Y18805D1*
+X17094Y18928D1*
+X17090Y19053D1*
+X17105Y19177D1*
+X17137Y19298D1*
+X17186Y19412D1*
+X17252Y19519D1*
+X17332Y19615D1*
+X17425Y19698D1*
+X17529Y19767D1*
+X17641Y19821D1*
+X17761Y19857D1*
+X17884Y19876D1*
+X18009Y19877D1*
+X18083Y19867D1*
+X18074Y20037D1*
+X18089Y20161D1*
+X18121Y20282D1*
+X18170Y20397D1*
+X18236Y20503D1*
+X18316Y20599D1*
+X18409Y20682D1*
+X18513Y20751D1*
+X18626Y20805D1*
+X18745Y20841D1*
+X18869Y20860D1*
+X18994Y20861D1*
+X19117Y20844D1*
+X19237Y20809D1*
+X19351Y20757D1*
+X19456Y20690D1*
+X19550Y20608D1*
+X19631Y20513D1*
+X19698Y20407D1*
+X19749Y20293D1*
+X19783Y20173D1*
+X19800Y20000D1*
+X19791Y19875D1*
+X13886D2*
+X14072Y19877D1*
+X14196Y19860D1*
+X14316Y19825D1*
+X14430Y19773D1*
+X14535Y19705D1*
+X14629Y19623D1*
+X14710Y19528D1*
+X14777Y19423D1*
+X14828Y19309D1*
+X14862Y19189D1*
+X14879Y19015D1*
+X14870Y18891D1*
+X14843Y18769D1*
+X14799Y18652D1*
+X14738Y18543D1*
+X14663Y18443D1*
+X14573Y18356D1*
+X14472Y18283D1*
+X14362Y18224D1*
+X14244Y18183D1*
+X14122Y18158D1*
+X13997Y18152D1*
+X13873Y18164D1*
+X13751Y18193D1*
+X13635Y18240D1*
+X13528Y18303D1*
+X13430Y18381D1*
+X13345Y18472D1*
+X13273Y18575D1*
+X13217Y18686D1*
+X13178Y18805D1*
+X13157Y18928D1*
+X13153Y19053D1*
+X13165Y19150D1*
+X13013Y19136D1*
+X12888Y19148D1*
+X12767Y19178D1*
+X12651Y19224D1*
+X12543Y19287D1*
+X12446Y19365D1*
+X12360Y19456D1*
+X12289Y19559D1*
+X12233Y19671D1*
+X12194Y19789D1*
+X12173Y19912D1*
+X12169Y20037D1*
+X12183Y20161D1*
+X12216Y20282D1*
+X12265Y20397D1*
+X12330Y20503D1*
+X12410Y20599D1*
+X12503Y20682D1*
+X12607Y20751D1*
+X12720Y20805D1*
+X12840Y20841D1*
+X12963Y20860D1*
+X13088Y20861D1*
+X13212Y20844D1*
+X13332Y20809D1*
+X13445Y20757D1*
+X13550Y20690D1*
+X13644Y20608D1*
+X13726Y20513D1*
+X13793Y20407D1*
+X13843Y20293D1*
+X13877Y20173D1*
+X13895Y20000D1*
+X13886Y19875D1*
+X19732Y21844D2*
+X19703Y21722D1*
+X19655Y21606D1*
+X19591Y21500D1*
+X19510Y21404D1*
+X19416Y21322D1*
+X19310Y21256D1*
+X19196Y21206D1*
+X19075Y21176D1*
+X18950Y21164D1*
+X18826Y21171D1*
+X18704Y21198D1*
+X18587Y21244D1*
+X18480Y21306D1*
+X18383Y21385D1*
+X18299Y21478D1*
+X18231Y21583D1*
+X18180Y21697D1*
+X18147Y21817D1*
+X18133Y21941D1*
+X18139Y22066D1*
+X18164Y22188D1*
+X18207Y22305D1*
+X18268Y22414D1*
+X18345Y22513D1*
+X18437Y22598D1*
+X18540Y22668D1*
+X18653Y22721D1*
+X18773Y22755D1*
+X18897Y22771D1*
+X19022Y22768D1*
+X19145Y22745D1*
+X19262Y22704D1*
+X19372Y22644D1*
+X19472Y22569D1*
+X19558Y22479D1*
+X19630Y22377D1*
+X19685Y22264D1*
+X19722Y22145D1*
+X19741Y21968D1*
+X19732Y21844D1*
+X13826D2*
+X13797Y21722D1*
+X13750Y21606D1*
+X13685Y21500D1*
+X13605Y21404D1*
+X13511Y21322D1*
+X13405Y21256D1*
+X13290Y21206D1*
+X13169Y21176D1*
+X13045Y21164D1*
+X12920Y21171D1*
+X12798Y21198D1*
+X12682Y21244D1*
+X12574Y21306D1*
+X12477Y21385D1*
+X12394Y21478D1*
+X12325Y21583D1*
+X12274Y21697D1*
+X12241Y21817D1*
+X12228Y21941D1*
+X12233Y22066D1*
+X12258Y22188D1*
+X12301Y22305D1*
+X12362Y22414D1*
+X12440Y22513D1*
+X12531Y22598D1*
+X12635Y22668D1*
+X12748Y22721D1*
+X12868Y22755D1*
+X12991Y22771D1*
+X13116Y22768D1*
+X13239Y22745D1*
+X13357Y22704D1*
+X13467Y22644D1*
+X13566Y22569D1*
+X13653Y22479D1*
+X13724Y22377D1*
+X13779Y22264D1*
+X13816Y22145D1*
+X13836Y21968D1*
+X13826Y21844D1*
+X34497Y12627D2*
+X35627Y12623D1*
+X35739Y12645D1*
+X35864Y12642D1*
+X35986Y12615D1*
+X36100Y12565D1*
+X36203Y12495D1*
+X36290Y12406D1*
+X36359Y12301D1*
+X36406Y12186D1*
+X36434Y12000D1*
+X36422Y11875D1*
+X36386Y11756D1*
+X36328Y11645D1*
+X36296Y11606D1*
+X36359Y11514D1*
+X36406Y11398D1*
+X36434Y11212D1*
+X36422Y11088D1*
+X36386Y10968D1*
+X36328Y10858D1*
+X36296Y10818D1*
+X36359Y10727D1*
+X36406Y10611D1*
+X36434Y10425D1*
+X36422Y10300D1*
+X36386Y10181D1*
+X36328Y10070D1*
+X36250Y9973D1*
+X36155Y9893D1*
+X36045Y9832D1*
+X35927Y9793D1*
+X35803Y9778D1*
+X35678Y9787D1*
+X35622Y9802D1*
+X35646Y9637D1*
+X35622Y9472D1*
+X35739Y9495D1*
+X35864Y9492D1*
+X35986Y9465D1*
+X36100Y9416D1*
+X36203Y9345D1*
+X36290Y9256D1*
+X36359Y9152D1*
+X36406Y9036D1*
+X36434Y8850D1*
+X36422Y8726D1*
+X36386Y8606D1*
+X36328Y8496D1*
+X36250Y8398D1*
+X36155Y8318D1*
+X36045Y8257D1*
+X35927Y8218D1*
+X35803Y8203D1*
+X35678Y8212D1*
+X35558Y8245D1*
+X35446Y8300D1*
+X35393Y8340D1*
+X35258Y8257D1*
+X35139Y8218D1*
+X35016Y8203D1*
+X34891Y8212D1*
+X34771Y8245D1*
+X34659Y8300D1*
+X34559Y8376D1*
+X34477Y8469D1*
+X34413Y8577D1*
+X34372Y8694D1*
+X34353Y8818D1*
+X34359Y8943D1*
+X34389Y9064D1*
+X34442Y9177D1*
+X34490Y9243D1*
+X34413Y9364D1*
+X34372Y9482D1*
+X34353Y9605D1*
+X34359Y9730D1*
+X34389Y9851D1*
+X34442Y9964D1*
+X34490Y10031D1*
+X34413Y10152D1*
+X34372Y10269D1*
+X34353Y10393D1*
+X34359Y10517D1*
+X34389Y10639D1*
+X34442Y10752D1*
+X34490Y10818D1*
+X34413Y10939D1*
+X34372Y11057D1*
+X34353Y11180D1*
+X34359Y11305D1*
+X34372Y11372D1*
+Y12627D1*
+X34497D1*
+X31355Y20954D2*
+X31320Y20931D1*
+X31203Y20887D1*
+X31079Y20869D1*
+X30955Y20879D1*
+X30836Y20916D1*
+X30771Y20953D1*
+X30612Y20887D1*
+X30489Y20869D1*
+X30364Y20879D1*
+X30245Y20916D1*
+X30181Y20953D1*
+X30022Y20887D1*
+X29898Y20869D1*
+X29774Y20879D1*
+X29655Y20916D1*
+X29546Y20978D1*
+X29454Y21062D1*
+X29383Y21164D1*
+X29335Y21279D1*
+X29314Y21402D1*
+X29320Y21527D1*
+X29353Y21647D1*
+X29412Y21757D1*
+X29493Y21852D1*
+X29593Y21927D1*
+X29707Y21978D1*
+X29829Y22003D1*
+X29954Y22000D1*
+X30075Y21971D1*
+X30176Y21921D1*
+X30297Y21978D1*
+X30420Y22003D1*
+X30544Y22000D1*
+X30665Y21971D1*
+X30767Y21921D1*
+X30888Y21978D1*
+X31010Y22003D1*
+X31135Y22000D1*
+X31256Y21971D1*
+X31357Y21921D1*
+X31478Y21978D1*
+X31601Y22003D1*
+X31725Y22000D1*
+X31847Y21971D1*
+X31948Y21921D1*
+X32069Y21978D1*
+X32191Y22003D1*
+X32316Y22000D1*
+X32437Y21971D1*
+X32539Y21921D1*
+X32660Y21978D1*
+X32782Y22003D1*
+X32906Y22000D1*
+X33028Y21971D1*
+X33140Y21916D1*
+X33237Y21837D1*
+X33314Y21740D1*
+X33369Y21628D1*
+X33398Y21506D1*
+X33402Y21437D1*
+X33388Y21313D1*
+X33348Y21195D1*
+X33282Y21089D1*
+X33196Y20999D1*
+X33091Y20931D1*
+X32975Y20887D1*
+X32851Y20869D1*
+X32727Y20879D1*
+X32607Y20916D1*
+X32543Y20953D1*
+X32384Y20887D1*
+X32260Y20869D1*
+X32136Y20879D1*
+X32017Y20916D1*
+X31953Y20953D1*
+X31793Y20887D1*
+X31670Y20869D1*
+X31546Y20879D1*
+X31426Y20916D1*
+X31362Y20953D1*
+X31355Y19773D2*
+X31320Y19750D1*
+X31203Y19706D1*
+X31079Y19688D1*
+X30955Y19698D1*
+X30836Y19735D1*
+X30771Y19772D1*
+X30612Y19706D1*
+X30489Y19688D1*
+X30364Y19698D1*
+X30245Y19735D1*
+X30181Y19772D1*
+X30022Y19706D1*
+X29898Y19688D1*
+X29774Y19698D1*
+X29655Y19735D1*
+X29590Y19772D1*
+X29431Y19706D1*
+X29308Y19688D1*
+X29183Y19698D1*
+X29064Y19735D1*
+X28956Y19797D1*
+X28864Y19881D1*
+X28792Y19983D1*
+X28745Y20098D1*
+X28723Y20221D1*
+X28729Y20346D1*
+X28763Y20466D1*
+X28821Y20576D1*
+X28902Y20671D1*
+X29002Y20746D1*
+X29116Y20797D1*
+X29238Y20822D1*
+X29363Y20819D1*
+X29484Y20790D1*
+X29586Y20740D1*
+X29707Y20797D1*
+X29829Y20822D1*
+X29954Y20819D1*
+X30075Y20790D1*
+X30176Y20740D1*
+X30297Y20797D1*
+X30420Y20822D1*
+X30544Y20819D1*
+X30665Y20790D1*
+X30767Y20740D1*
+X30888Y20797D1*
+X31010Y20822D1*
+X31135Y20819D1*
+X31256Y20790D1*
+X31357Y20740D1*
+X31478Y20797D1*
+X31601Y20822D1*
+X31725Y20819D1*
+X31847Y20790D1*
+X31948Y20740D1*
+X32069Y20797D1*
+X32191Y20822D1*
+X32316Y20819D1*
+X32437Y20790D1*
+X32539Y20740D1*
+X32660Y20797D1*
+X32782Y20822D1*
+X32906Y20819D1*
+X33028Y20790D1*
+X33140Y20735D1*
+X33237Y20656D1*
+X33314Y20559D1*
+X33369Y20446D1*
+X33398Y20325D1*
+X33402Y20256D1*
+X33388Y20132D1*
+X33348Y20014D1*
+X33282Y19908D1*
+X33196Y19818D1*
+X33091Y19750D1*
+X32975Y19706D1*
+X32851Y19688D1*
+X32727Y19698D1*
+X32607Y19735D1*
+X32543Y19772D1*
+X32384Y19706D1*
+X32260Y19688D1*
+X32136Y19698D1*
+X32017Y19735D1*
+X31953Y19772D1*
+X31793Y19706D1*
+X31670Y19688D1*
+X31546Y19698D1*
+X31426Y19735D1*
+X31362Y19772D1*
+X31815Y22691D2*
+X31781Y22571D1*
+X31724Y22459D1*
+X31648Y22361D1*
+X31555Y22278D1*
+X31448Y22214D1*
+X31330Y22171D1*
+X31207Y22150D1*
+X31083Y22153D1*
+X30960Y22179D1*
+X30845Y22228D1*
+X30741Y22297D1*
+X30652Y22384D1*
+X30581Y22486D1*
+X30530Y22600D1*
+X30501Y22721D1*
+X30495Y22846D1*
+X30512Y22970D1*
+X30552Y23088D1*
+X30614Y23196D1*
+X30695Y23292D1*
+X30792Y23370D1*
+X30902Y23429D1*
+X31021Y23467D1*
+X31145Y23481D1*
+X31269Y23473D1*
+X31390Y23441D1*
+X31503Y23387D1*
+X31603Y23313D1*
+X31688Y23222D1*
+X31755Y23116D1*
+X31801Y23000D1*
+X31827Y22815D1*
+X31815Y22691D1*
+X22629Y5349D2*
+Y4560D1*
+X21374D1*
+X21378Y5814D1*
+X21355Y5943D1*
+X21361Y6067D1*
+X21391Y6188D1*
+X21443Y6302D1*
+X21517Y6403D1*
+X21618Y6494D1*
+X21620Y7000D1*
+X21640Y7123D1*
+X21701Y7235D1*
+X21908Y7447D1*
+X22369Y7908D1*
+X22370Y8996D1*
+X22290Y9006D1*
+X22171Y9042D1*
+X22064Y9107D1*
+X21977Y9196D1*
+X21915Y9304D1*
+X21880Y9451D1*
+X21796Y9480D1*
+X21689Y9545D1*
+X21602Y9634D1*
+X21536Y9753D1*
+X21478Y9756D1*
+X21358Y9792D1*
+X21238Y9871D1*
+X20189Y9868D1*
+X20013D1*
+X19961Y9829D1*
+X19849Y9775D1*
+X19727Y9750D1*
+X19602Y9756D1*
+X19483Y9792D1*
+X19377Y9857D1*
+X19289Y9946D1*
+X19227Y10054D1*
+X19193Y10174D1*
+X19189Y10298D1*
+X19216Y10420D1*
+X19273Y10531D1*
+X19355Y10625D1*
+X19458Y10696D1*
+X19575Y10739D1*
+X19699Y10752D1*
+X19822Y10734D1*
+X19937Y10686D1*
+X20009Y10632D1*
+X21239D1*
+X21333Y10696D1*
+X21450Y10739D1*
+X21574Y10752D1*
+X21616Y10746D1*
+X21619Y10972D1*
+X21524Y10891D1*
+X21412Y10837D1*
+X21290Y10812D1*
+X21165Y10818D1*
+X21046Y10855D1*
+X20926Y10934D1*
+X20451Y10931D1*
+X20399Y10891D1*
+X20287Y10837D1*
+X20164Y10812D1*
+X20040Y10818D1*
+X19921Y10855D1*
+X19814Y10920D1*
+X19727Y11009D1*
+X19664Y11117D1*
+X19630Y11236D1*
+X19629Y11272D1*
+X19287Y11268D1*
+X19149Y11225D1*
+X19024Y11220D1*
+X18902Y11244D1*
+X18788Y11295D1*
+X18690Y11372D1*
+X18612Y11470D1*
+X18559Y11582D1*
+X18534Y11705D1*
+X18535Y11755D1*
+X18352Y11756D1*
+X18233Y11793D1*
+X18127Y11857D1*
+X18039Y11946D1*
+X17977Y12054D1*
+X17943Y12174D1*
+X17939Y12299D1*
+X17966Y12420D1*
+X18023Y12531D1*
+X18105Y12625D1*
+X18208Y12696D1*
+X18325Y12739D1*
+X18449Y12752D1*
+X18572Y12734D1*
+X18687Y12686D1*
+X18787Y12612D1*
+X18819Y12572D1*
+X19314Y12570D1*
+X19440Y12548D1*
+X19523Y12507D1*
+X21068D1*
+X21168Y12625D1*
+X21270Y12696D1*
+X21387Y12739D1*
+X21511Y12752D1*
+X21635Y12734D1*
+X21750Y12686D1*
+X21850Y12612D1*
+X21928Y12515D1*
+X21956Y12454D1*
+X21957Y13125D1*
+X21973Y13249D1*
+X22021Y13364D1*
+X22098Y13466D1*
+X23161Y14529D1*
+X23260Y14605D1*
+X23375Y14653D1*
+X23502Y14670D1*
+X24091D1*
+X24184Y14704D1*
+X24308Y14720D1*
+X24432Y14707D1*
+X24549Y14665D1*
+X24654Y14597D1*
+X24740Y14507D1*
+X24802Y14399D1*
+X24838Y14279D1*
+X24846Y14188D1*
+X24831Y14064D1*
+X24788Y13947D1*
+X24719Y13843D1*
+X24628Y13759D1*
+X24519Y13697D1*
+X24399Y13663D1*
+X24275Y13658D1*
+X24152Y13681D1*
+X24099Y13706D1*
+X23701D1*
+X22922Y12928D1*
+X22921Y11762D1*
+X23001Y11732D1*
+X23154D1*
+X23247Y11766D1*
+X23370Y11782D1*
+X23494Y11769D1*
+X23612Y11727D1*
+X23716Y11659D1*
+X23802Y11569D1*
+X23865Y11461D1*
+X23901Y11342D1*
+X23908Y11250D1*
+X23894Y11126D1*
+X23851Y11009D1*
+X23782Y10906D1*
+X23687Y10819D1*
+X23691Y10750D1*
+X23675Y10627D1*
+X23630Y10510D1*
+X23569Y10425D1*
+X23571Y9824D1*
+X23616Y9764D1*
+X23668Y9651D1*
+X23691Y9500D1*
+X23675Y9376D1*
+X23630Y9260D1*
+X23557Y9159D1*
+X23462Y9079D1*
+X23349Y9025D1*
+X23227Y9000D1*
+X23133D1*
+Y7750D1*
+X23113Y7627D1*
+X23052Y7515D1*
+X22845Y7303D1*
+X22383Y6842D1*
+Y6496D1*
+X22395Y6488D1*
+X22501Y6554D1*
+X22618Y6598D1*
+X22741Y6620D1*
+X22866Y6617D1*
+X22988Y6590D1*
+X23102Y6540D1*
+X23183Y6488D1*
+X23289Y6554D1*
+X23405Y6598D1*
+X23528Y6620D1*
+X23653Y6617D1*
+X23775Y6590D1*
+X23889Y6540D1*
+X23970Y6488D1*
+X24076Y6554D1*
+X24193Y6598D1*
+X24316Y6620D1*
+X24440Y6617D1*
+X24562Y6590D1*
+X24677Y6540D1*
+X24758Y6488D1*
+X24863Y6554D1*
+X24980Y6598D1*
+X25103Y6620D1*
+X25228Y6617D1*
+X25350Y6590D1*
+X25464Y6540D1*
+X25567Y6470D1*
+X25654Y6381D1*
+X25723Y6276D1*
+X25770Y6161D1*
+X25798Y5975D1*
+X25786Y5850D1*
+X25750Y5731D1*
+X25692Y5620D1*
+X25614Y5523D1*
+X25519Y5442D1*
+X25410Y5382D1*
+X25291Y5343D1*
+X25167Y5328D1*
+X25043Y5337D1*
+X24922Y5370D1*
+X24810Y5425D1*
+X24757Y5465D1*
+X24622Y5382D1*
+X24504Y5343D1*
+X24380Y5328D1*
+X24255Y5337D1*
+X24135Y5370D1*
+X24023Y5425D1*
+X23970Y5465D1*
+X23835Y5382D1*
+X23716Y5343D1*
+X23592Y5328D1*
+X23468Y5337D1*
+X23347Y5370D1*
+X23235Y5425D1*
+X23183Y5465D1*
+X23047Y5382D1*
+X22929Y5343D1*
+X22805Y5328D1*
+X22680Y5337D1*
+X22631Y5350D1*
+X29027Y5850D2*
+X30353D1*
+Y4399D1*
+X28902D1*
+Y5850D1*
+X29027D1*
+X24415Y22509D2*
+X24370Y22392D1*
+X24298Y22291D1*
+X24203Y22210D1*
+X24091Y22154D1*
+X23970Y22127D1*
+X23845Y22131D1*
+X23725Y22166D1*
+X23617Y22228D1*
+X23528Y22315D1*
+X23462Y22421D1*
+X23425Y22540D1*
+X23417Y22664D1*
+X23440Y22787D1*
+X23493Y22900D1*
+X23571Y22997D1*
+X23671Y23072D1*
+X23786Y23120D1*
+X23909Y23139D1*
+X24033Y23127D1*
+X24150Y23086D1*
+X24254Y23017D1*
+X24338Y22924D1*
+X24397Y22814D1*
+X24427Y22693D1*
+X24430Y22632D1*
+X24415Y22509D1*
+X22683D2*
+X22638Y22392D1*
+X22566Y22291D1*
+X22471Y22210D1*
+X22359Y22154D1*
+X22237Y22127D1*
+X22113Y22131D1*
+X21993Y22166D1*
+X21885Y22228D1*
+X21796Y22315D1*
+X21730Y22421D1*
+X21692Y22540D1*
+X21685Y22664D1*
+X21708Y22787D1*
+X21760Y22900D1*
+X21839Y22997D1*
+X21938Y23072D1*
+X22053Y23120D1*
+X22177Y23139D1*
+X22301Y23127D1*
+X22418Y23086D1*
+X22522Y23017D1*
+X22606Y22924D1*
+X22664Y22814D1*
+X22694Y22693D1*
+X22698Y22632D1*
+X22683Y22509D1*
+X6266Y23437D2*
+X6242Y23315D1*
+X6202Y23196D1*
+X6147Y23084D1*
+X6078Y22980D1*
+X5996Y22886D1*
+X5903Y22803D1*
+X5799Y22732D1*
+X5688Y22676D1*
+X5570Y22635D1*
+X5448Y22610D1*
+X5323Y22600D1*
+X5198Y22607D1*
+X5075Y22630D1*
+X4957Y22668D1*
+X4844Y22722D1*
+X4739Y22790D1*
+X4644Y22870D1*
+X4560Y22963D1*
+X4488Y23065D1*
+X4431Y23176D1*
+X4389Y23294D1*
+X4362Y23416D1*
+X4351Y23540D1*
+X4356Y23665D1*
+X4377Y23788D1*
+X4415Y23907D1*
+X4467Y24021D1*
+X4534Y24126D1*
+X4613Y24223D1*
+X4705Y24308D1*
+X4807Y24380D1*
+X4917Y24439D1*
+X5034Y24482D1*
+X5156Y24511D1*
+X5280Y24523D1*
+X5405Y24519D1*
+X5528Y24499D1*
+X5648Y24463D1*
+X5762Y24412D1*
+X5868Y24347D1*
+X5965Y24268D1*
+X6051Y24177D1*
+X6125Y24076D1*
+X6185Y23967D1*
+X6230Y23850D1*
+X6259Y23729D1*
+X6274Y23562D1*
+X6266Y23437D1*
+Y5188D2*
+X6242Y5065D1*
+X6202Y4947D1*
+X6147Y4834D1*
+X6078Y4730D1*
+X5996Y4636D1*
+X5903Y4553D1*
+X5799Y4483D1*
+X5688Y4427D1*
+X5570Y4386D1*
+X5448Y4360D1*
+X5323Y4350D1*
+X5198Y4357D1*
+X5075Y4380D1*
+X4957Y4419D1*
+X4844Y4472D1*
+X4739Y4540D1*
+X4644Y4621D1*
+X4560Y4713D1*
+X4488Y4816D1*
+X4431Y4927D1*
+X4389Y5044D1*
+X4362Y5166D1*
+X4351Y5291D1*
+X4356Y5416D1*
+X4377Y5539D1*
+X4415Y5658D1*
+X4467Y5771D1*
+X4534Y5877D1*
+X4613Y5973D1*
+X4705Y6058D1*
+X4807Y6130D1*
+X4917Y6189D1*
+X5034Y6233D1*
+X5156Y6261D1*
+X5280Y6273D1*
+X5405Y6270D1*
+X5528Y6249D1*
+X5648Y6214D1*
+X5762Y6162D1*
+X5868Y6097D1*
+X5965Y6018D1*
+X6051Y5928D1*
+X6125Y5827D1*
+X6185Y5717D1*
+X6230Y5601D1*
+X6259Y5479D1*
+X6274Y5312D1*
+X6266Y5188D1*
+X37141Y23437D2*
+X37116Y23315D1*
+X37077Y23196D1*
+X37022Y23084D1*
+X36953Y22980D1*
+X36871Y22886D1*
+X36777Y22803D1*
+X36674Y22732D1*
+X36562Y22676D1*
+X36445Y22635D1*
+X36322Y22610D1*
+X36198Y22600D1*
+X36073Y22607D1*
+X35950Y22630D1*
+X35831Y22668D1*
+X35719Y22722D1*
+X35614Y22790D1*
+X35518Y22870D1*
+X35434Y22963D1*
+X35363Y23065D1*
+X35306Y23176D1*
+X35263Y23294D1*
+X35236Y23416D1*
+X35225Y23540D1*
+X35231Y23665D1*
+X35252Y23788D1*
+X35289Y23907D1*
+X35342Y24021D1*
+X35408Y24126D1*
+X35488Y24223D1*
+X35580Y24308D1*
+X35682Y24380D1*
+X35792Y24439D1*
+X35909Y24482D1*
+X36030Y24511D1*
+X36155Y24523D1*
+X36280Y24519D1*
+X36403Y24499D1*
+X36523Y24463D1*
+X36637Y24412D1*
+X36743Y24347D1*
+X36840Y24268D1*
+X36926Y24177D1*
+X37000Y24076D1*
+X37059Y23967D1*
+X37105Y23850D1*
+X37134Y23729D1*
+X37149Y23562D1*
+X37141Y23437D1*
+Y5188D2*
+X37116Y5065D1*
+X37077Y4947D1*
+X37022Y4834D1*
+X36953Y4730D1*
+X36871Y4636D1*
+X36777Y4553D1*
+X36674Y4483D1*
+X36562Y4427D1*
+X36445Y4386D1*
+X36322Y4360D1*
+X36198Y4350D1*
+X36073Y4357D1*
+X35950Y4380D1*
+X35831Y4419D1*
+X35719Y4472D1*
+X35614Y4540D1*
+X35518Y4621D1*
+X35434Y4713D1*
+X35363Y4816D1*
+X35306Y4927D1*
+X35263Y5044D1*
+X35236Y5166D1*
+X35225Y5291D1*
+X35231Y5416D1*
+X35252Y5539D1*
+X35289Y5658D1*
+X35342Y5771D1*
+X35408Y5877D1*
+X35488Y5973D1*
+X35580Y6058D1*
+X35682Y6130D1*
+X35792Y6189D1*
+X35909Y6233D1*
+X36030Y6261D1*
+X36155Y6273D1*
+X36280Y6270D1*
+X36403Y6249D1*
+X36523Y6214D1*
+X36637Y6162D1*
+X36743Y6097D1*
+X36840Y6018D1*
+X36926Y5928D1*
+X37000Y5827D1*
+X37059Y5717D1*
+X37105Y5601D1*
+X37134Y5479D1*
+X37149Y5312D1*
+X37141Y5188D1*
+X26884Y10692D2*
+Y7996D1*
+X26948Y7984D1*
+X27063Y7936D1*
+X27163Y7861D1*
+X27241Y7764D1*
+X27293Y7651D1*
+X27316Y7500D1*
+X27301Y7376D1*
+X27255Y7260D1*
+X27183Y7159D1*
+X27087Y7079D1*
+X26975Y7024D1*
+X26853Y6999D1*
+X26728Y7005D1*
+X26609Y7042D1*
+X26500Y7110D1*
+X26460Y7079D1*
+X26347Y7024D1*
+X26225Y6999D1*
+X26101Y7005D1*
+X25982Y7042D1*
+X25875Y7107D1*
+X25788Y7196D1*
+X25725Y7304D1*
+X25691Y7424D1*
+X25687Y7548D1*
+X25715Y7670D1*
+X25771Y7781D1*
+X25805Y7819D1*
+Y10863D1*
+X25725Y10991D1*
+X25691Y11111D1*
+X25687Y11235D1*
+X25715Y11357D1*
+X25771Y11468D1*
+X25853Y11562D1*
+X25956Y11633D1*
+X26073Y11676D1*
+X26197Y11689D1*
+X26320Y11671D1*
+X26436Y11623D1*
+X26495Y11579D1*
+X26584Y11633D1*
+X26700Y11677D1*
+X26824Y11690D1*
+X26948Y11672D1*
+X27063Y11624D1*
+X27163Y11549D1*
+X27241Y11452D1*
+X27293Y11339D1*
+X27316Y11188D1*
+X27301Y11064D1*
+X27255Y10948D1*
+X27183Y10847D1*
+X27087Y10766D1*
+X26975Y10712D1*
+X26887Y10694D1*
+X11369Y11933D2*
+X11371Y11596D1*
+X11427Y11452D1*
+X11479Y11339D1*
+X11502Y11188D1*
+X11487Y11064D1*
+X11441Y10948D1*
+X11368Y10847D1*
+X11273Y10766D1*
+X11161Y10712D1*
+X11039Y10687D1*
+X10914Y10693D1*
+X10795Y10730D1*
+X10688Y10795D1*
+X10601Y10884D1*
+X10538Y10992D1*
+X10504Y11111D1*
+X10501Y11236D1*
+X10518Y11333D1*
+X10505Y11439D1*
+Y11935D1*
+X10475Y11991D1*
+X10441Y12111D1*
+X10437Y12235D1*
+X10465Y12357D1*
+X10521Y12468D1*
+X10603Y12562D1*
+X10706Y12633D1*
+X10823Y12676D1*
+X10947Y12689D1*
+X11070Y12671D1*
+X11186Y12623D1*
+X11285Y12548D1*
+X11364Y12451D1*
+X11416Y12338D1*
+X11439Y12187D1*
+X11423Y12063D1*
+X11367Y11931D1*
+X31184Y10817D2*
+X31176Y10752D1*
+X31131Y10635D1*
+X31058Y10534D1*
+X30963Y10454D1*
+X30850Y10400D1*
+X30728Y10375D1*
+X30604Y10381D1*
+X30484Y10417D1*
+X30378Y10482D1*
+X30315Y10546D1*
+X30301Y10376D1*
+X30256Y10260D1*
+X30183Y10159D1*
+X30087Y10079D1*
+X29975Y10025D1*
+X29853Y10000D1*
+X29728Y10006D1*
+X29609Y10042D1*
+X29503Y10107D1*
+X29415Y10196D1*
+X29386Y10247D1*
+X28565Y10243D1*
+X28537D1*
+X28427Y10161D1*
+X28326Y10126D1*
+X28127Y10118D1*
+X28014D1*
+X27962Y10079D1*
+X27850Y10025D1*
+X27728Y10000D1*
+X27603Y10006D1*
+X27484Y10042D1*
+X27378Y10107D1*
+X27290Y10196D1*
+X27228Y10304D1*
+X27193Y10424D1*
+X27190Y10549D1*
+X27217Y10670D1*
+X27274Y10781D1*
+X27356Y10875D1*
+X27459Y10946D1*
+X27576Y10989D1*
+X27626Y10994D1*
+X27655Y11108D1*
+X27711Y11219D1*
+X27793Y11313D1*
+X27896Y11383D1*
+X28017Y11427D1*
+X28030Y11483D1*
+X28086Y11594D1*
+X28168Y11688D1*
+X28271Y11758D1*
+X28388Y11802D1*
+X28512Y11815D1*
+X28636Y11797D1*
+X28751Y11749D1*
+X28850Y11674D1*
+X28934Y11566D1*
+X29752Y11570D1*
+X30217D1*
+X30327Y11652D1*
+X30428Y11687D1*
+X30627Y11695D1*
+X30803D1*
+X30896Y11758D1*
+X31013Y11802D1*
+X31137Y11815D1*
+X31261Y11797D1*
+X31376Y11749D1*
+X31476Y11674D1*
+X31554Y11577D1*
+X31606Y11464D1*
+X31629Y11313D1*
+X31614Y11189D1*
+X31568Y11073D1*
+X31495Y10972D1*
+X31400Y10891D1*
+X31288Y10837D1*
+X31185Y10816D1*
+X4062Y24689D2*
+Y4062D1*
+X37378D1*
+Y24814D1*
+X4062D1*
+Y24689D1*
+D31*
+X9063Y16813D3*
+D32*
+X10047D3*
+D39*
+X5375D3*
+D40*
+Y21164D3*
+D50*
+X8937Y19437D3*
+D51*
+Y21799D3*
+D52*
+X7087Y20618D3*
+D53*
+X18937Y20000D3*
+X17953Y19015D3*
+X13031Y20000D3*
+X14016Y19015D3*
+X15984Y20000D3*
+X16969Y23937D3*
+X15000D3*
+X17953D3*
+X14016D3*
+D58*
+X31062Y21437D3*
+Y20256D3*
+X30471Y21437D3*
+X29881D3*
+X30767Y20846D3*
+X30176D3*
+X29586D3*
+X29290Y20256D3*
+X29881D3*
+X30471D3*
+X31653D3*
+X32243D3*
+X32834D3*
+Y21437D3*
+X32243D3*
+X31653D3*
+X31357Y20846D3*
+X31948D3*
+X32538D3*
+D59*
+X34251Y23524D3*
+X28070D3*
+D60*
+X22001Y5187D3*
+D61*
+Y5975D3*
+X22789Y5187D3*
+Y5975D3*
+X23576D3*
+Y5187D3*
+X24364D3*
+Y5975D3*
+X25151Y5187D3*
+Y5975D3*
+D62*
+X29627Y5125D3*
+D63*
+X28627D3*
+D60*
+X35000Y12000D3*
+D61*
+X35787D3*
+X35000Y11212D3*
+X35787D3*
+Y10425D3*
+X35000D3*
+Y9637D3*
+X35787D3*
+X35000Y8850D3*
+X35787D3*
+D62*
+X7187Y16937D3*
+D63*
+Y17937D3*
+M02*
diff --git a/gerbonara/tests/resources/diptrace/mainboard_BottomMask.gbr b/gerbonara/tests/resources/diptrace/mainboard_BottomMask.gbr
new file mode 100644
index 0000000..ffe9ba9
--- /dev/null
+++ b/gerbonara/tests/resources/diptrace/mainboard_BottomMask.gbr
@@ -0,0 +1,122 @@
+G04 DipTrace 2.4.0.2*
+%INmainboard_BottomMask.gbr*%
+%MOIN*%
+%ADD72C,0.0945*%
+%ADD73C,0.035*%
+%ADD74C,0.0669*%
+%ADD75C,0.126*%
+%ADD78C,0.0551*%
+%ADD93C,0.0866*%
+%ADD95R,0.0866X0.0866*%
+%ADD97C,0.0709*%
+%ADD98R,0.0669X0.0669*%
+%ADD100C,0.0866*%
+%ADD107C,0.1142*%
+%ADD109O,0.0866X0.185*%
+%ADD111O,0.185X0.0866*%
+%ADD113O,0.2047X0.0866*%
+%ADD129C,0.1024*%
+%ADD130R,0.1024X0.1024*%
+%ADD142C,0.061*%
+%ADD144R,0.061X0.061*%
+%FSLAX44Y44*%
+G04*
+G70*
+G90*
+G75*
+G01*
+%LNBotMask*%
+%LPD*%
+D144*
+X9063Y16813D3*
+D142*
+X10047D3*
+D130*
+X5375D3*
+D129*
+Y21164D3*
+D113*
+X8937Y19437D3*
+D111*
+Y21799D3*
+D109*
+X7087Y20618D3*
+D107*
+X18937Y20000D3*
+X17953Y19015D3*
+X13031Y20000D3*
+X14016Y19015D3*
+X15984Y20000D3*
+X16969Y23937D3*
+X15000D3*
+X17953D3*
+X14016D3*
+D72*
+X18937Y21968D3*
+X13031D3*
+D73*
+X23923Y22632D3*
+X22191D3*
+D78*
+X31062Y21437D3*
+Y20256D3*
+X30471Y21437D3*
+X29881D3*
+X30767Y20846D3*
+X30176D3*
+X29586D3*
+X29290Y20256D3*
+X29881D3*
+X30471D3*
+X31653D3*
+X32243D3*
+X32834D3*
+Y21437D3*
+X32243D3*
+X31653D3*
+X31357Y20846D3*
+X31948D3*
+X32538D3*
+D100*
+X34251Y23524D3*
+X28070D3*
+D74*
+X31160Y22815D3*
+D98*
+X22001Y5187D3*
+D97*
+Y5975D3*
+X22789Y5187D3*
+Y5975D3*
+X23576D3*
+Y5187D3*
+X24364D3*
+Y5975D3*
+X25151Y5187D3*
+Y5975D3*
+D95*
+X29627Y5125D3*
+D93*
+X28627D3*
+D98*
+X35000Y12000D3*
+D97*
+X35787D3*
+X35000Y11212D3*
+X35787D3*
+Y10425D3*
+X35000D3*
+Y9637D3*
+X35787D3*
+X35000Y8850D3*
+X35787D3*
+D95*
+X7187Y16937D3*
+D93*
+Y17937D3*
+D75*
+X5312Y23562D3*
+Y5312D3*
+X36187Y23562D3*
+Y5312D3*
+M02*
diff --git a/gerbonara/tests/resources/diptrace/mainboard_Top.gbr b/gerbonara/tests/resources/diptrace/mainboard_Top.gbr
new file mode 100644
index 0000000..d83b5b6
--- /dev/null
+++ b/gerbonara/tests/resources/diptrace/mainboard_Top.gbr
@@ -0,0 +1,3861 @@
+G04 DipTrace 2.4.0.2*
+%INmainboard_Top.gbr*%
+%MOIN*%
+%ADD13C,0.03*%
+%ADD14C,0.036*%
+%ADD15C,0.022*%
+%ADD16C,0.014*%
+%ADD17C,0.0118*%
+%ADD18C,0.01*%
+%ADD19C,0.02*%
+%ADD20C,0.04*%
+%ADD21C,0.026*%
+%ADD22C,0.024*%
+%ADD23C,0.0256*%
+%ADD24C,0.032*%
+%ADD25C,0.016*%
+%ADD26C,0.039*%
+%ADD27C,0.05*%
+%ADD28C,0.07*%
+%ADD29C,0.0236*%
+%ADD30C,0.025*%
+%ADD31R,0.0531X0.0531*%
+%ADD32C,0.0531*%
+%ADD33R,0.063X0.0709*%
+%ADD34R,0.0591X0.0512*%
+%ADD35R,0.0709X0.063*%
+%ADD36R,0.0512X0.0591*%
+%ADD37R,0.0433X0.0394*%
+%ADD38R,0.0394X0.0433*%
+%ADD39R,0.0945X0.0945*%
+%ADD40C,0.0945*%
+%ADD42R,0.0413X0.0256*%
+%ADD43R,0.0394X0.0394*%
+%ADD44R,0.0394X0.0236*%
+%ADD46R,0.0354X0.0201*%
+%ADD47R,0.1024X0.1378*%
+%ADD48R,0.0374X0.0846*%
+%ADD49R,0.128X0.0846*%
+%ADD50O,0.1969X0.0787*%
+%ADD51O,0.1772X0.0787*%
+%ADD52O,0.0787X0.1772*%
+%ADD53C,0.1063*%
+%ADD54R,0.0197X0.1299*%
+%ADD55R,0.0787X0.1299*%
+%ADD56R,0.0787X0.0984*%
+%ADD58C,0.0472*%
+%ADD59C,0.0787*%
+%ADD60R,0.0591X0.0591*%
+%ADD61C,0.063*%
+%ADD62R,0.0787X0.0787*%
+%ADD63C,0.0787*%
+%ADD64R,0.0866X0.063*%
+%ADD65R,0.0256X0.0413*%
+%ADD67R,0.063X0.0118*%
+%ADD68R,0.0118X0.063*%
+%ADD69R,0.0551X0.063*%
+%ADD71C,0.034*%
+%FSLAX44Y44*%
+G04*
+G70*
+G90*
+G75*
+G01*
+%LNTop*%
+%LPD*%
+X16045Y11734D2*
+D13*
+Y10608D1*
+D14*
+Y9451D1*
+X21687Y18031D2*
+D15*
+X21810Y17908D1*
+Y17187D1*
+X16045Y11734D2*
+D13*
+X16063Y11752D1*
+Y12811D1*
+X27002Y14251D2*
+D15*
+X26437D1*
+Y14250D1*
+D16*
+X26125D1*
+D17*
+X26002Y14127D1*
+X25062D1*
+X23752Y10688D2*
+D15*
+Y11188D1*
+D16*
+X23704Y11236D1*
+Y11629D1*
+D18*
+Y12179D1*
+X27566Y5313D2*
+D13*
+X27564Y5312D1*
+X22001Y5187D2*
+X21501D1*
+X21251Y5437D1*
+Y7000D1*
+X22001Y5187D2*
+D18*
+Y4812D1*
+X22189Y4625D1*
+X26314D1*
+X27002Y5312D1*
+X27564D1*
+X27566Y5313D1*
+X17813Y15749D2*
+D13*
+X18939D1*
+Y11875D1*
+X19064Y11750D1*
+Y10562D1*
+X16091D1*
+X16045Y10608D1*
+X21687Y18031D2*
+D19*
+X21217Y18501D1*
+X20001D1*
+X19626Y18126D1*
+Y17313D1*
+X19638Y17302D1*
+D13*
+X18939D1*
+Y15749D1*
+X23752Y11188D2*
+X23439D1*
+X23377Y11250D1*
+X24314Y14188D2*
+D18*
+X24378Y14125D1*
+X25059D1*
+X25062Y14127D1*
+X19064Y10562D2*
+D13*
+Y9750D1*
+X21251Y7562D1*
+Y7000D1*
+X13062Y17062D2*
+X13064Y17060D1*
+Y15937D1*
+X14751Y12875D2*
+X14688D1*
+Y11938D1*
+X15140D1*
+Y11734D1*
+X13064Y15937D2*
+D20*
+Y15373D1*
+X13563Y14873D1*
+Y12313D1*
+X14064Y11812D1*
+D14*
+X14188D1*
+X15140D1*
+D15*
+Y11734D1*
+X13593Y9564D2*
+D21*
+X14188D1*
+D20*
+Y11812D1*
+Y9564D2*
+Y8750D1*
+X14626Y8312D1*
+X19626D1*
+X20439Y7500D1*
+Y5250D1*
+X21564Y4125D1*
+X31690D1*
+X34878Y7312D1*
+X35440D1*
+X35787Y7659D1*
+Y8850D1*
+X25062Y14324D2*
+D18*
+X25824D1*
+X26000Y14500D1*
+Y15750D1*
+X26626Y16376D1*
+X30377D1*
+X32002Y18001D1*
+Y18316D1*
+X25062Y14521D2*
+X25647D1*
+X25814Y14688D1*
+Y15876D1*
+X26564Y16626D1*
+X30190D1*
+X31190Y17626D1*
+Y18316D1*
+X25062Y14718D2*
+X25532D1*
+X25627Y14813D1*
+Y16001D1*
+X26502Y16876D1*
+X29940D1*
+X30377Y17313D1*
+Y18316D1*
+X29565D2*
+Y18001D1*
+X28690Y17126D1*
+X26438D1*
+X25437Y16125D1*
+Y14915D1*
+X25062D1*
+X24491Y15486D2*
+Y15928D1*
+X24627Y16063D1*
+X25064D1*
+X26377Y17376D1*
+X28502D1*
+X28752Y17626D1*
+Y18316D1*
+X23687Y17687D2*
+X23502Y17502D1*
+Y16626D1*
+X23310Y16434D1*
+Y15486D1*
+X23113D2*
+X23125Y15474D1*
+X22750Y17687D2*
+X22876Y17560D1*
+Y16626D1*
+X23113Y16389D1*
+Y15486D1*
+X26937Y23625D2*
+D13*
+Y22687D1*
+Y22000D2*
+D15*
+Y22687D1*
+X8187Y10102D2*
+D13*
+Y11024D1*
+D19*
+X8350Y11187D1*
+X15062Y16689D2*
+D22*
+X15314Y16437D1*
+X15812D1*
+Y15963D1*
+D23*
+Y15685D1*
+X16811Y12811D2*
+D13*
+X16951Y12671D1*
+Y11734D1*
+X15812Y16437D2*
+D22*
+X15937D1*
+X16811Y12811D2*
+D13*
+Y13563D1*
+X15937D1*
+Y13937D1*
+X22500Y20562D2*
+D19*
+X22427Y20634D1*
+Y21625D1*
+X22750Y19937D2*
+D15*
+X22500Y20187D1*
+Y20562D1*
+X7727Y13188D2*
+X7728Y13187D1*
+X8475D1*
+Y13022D1*
+D19*
+X8350D1*
+Y12687D1*
+Y11187D2*
+X8638D1*
+D15*
+X8937D1*
+X9156Y11406D1*
+X9394Y11644D1*
+Y11687D1*
+Y11935D1*
+X9437Y11978D1*
+Y12687D1*
+X10150D1*
+D19*
+X10437D1*
+X9437D2*
+D15*
+X8638D1*
+D19*
+X8350D1*
+X9437Y13250D2*
+D15*
+Y12687D1*
+X11435Y9937D2*
+Y10310D1*
+X11569Y10444D1*
+X11687Y10562D1*
+Y10939D1*
+X14751Y13978D2*
+X15211D1*
+X15626Y13563D1*
+X15937D1*
+X24421Y11188D2*
+Y10688D1*
+X26437Y14919D2*
+X27002D1*
+Y14920D1*
+X29752Y14813D2*
+D13*
+Y13686D1*
+X25062Y13537D2*
+D18*
+X24087D1*
+X24062Y13562D1*
+X26752Y15251D2*
+D13*
+Y14920D1*
+X27002D1*
+X19627Y14627D2*
+Y14188D1*
+X24421Y11188D2*
+D19*
+Y10938D1*
+D13*
+X24752D1*
+X23113Y12179D2*
+D18*
+Y12926D1*
+X23187Y13000D1*
+X9187Y12187D2*
+D15*
+X9396Y11978D1*
+X9437D1*
+X9187Y11687D2*
+X9156Y11656D1*
+Y11406D1*
+X9687Y11687D2*
+X9394D1*
+X9187Y11187D2*
+X8937D1*
+X25311Y18499D2*
+D13*
+X25373Y18437D1*
+X26437D1*
+X28752Y15313D2*
+D24*
+X29752D1*
+X27566Y6061D2*
+D13*
+X28879D1*
+Y6250D1*
+X25062Y13340D2*
+D18*
+X25850D1*
+X26314Y12875D1*
+Y11688D1*
+X26814Y11188D1*
+Y7500D2*
+D25*
+Y7062D1*
+X27064Y6812D1*
+X27314D1*
+X27566Y6561D1*
+Y6061D1*
+X17001Y15001D2*
+D13*
+X17813D1*
+X24294Y12179D2*
+D18*
+Y11770D1*
+X24502Y11563D1*
+X24814D1*
+X25127Y11250D1*
+Y10625D1*
+X24814Y10313D1*
+X22439D1*
+X21751Y11000D1*
+Y12000D1*
+X21501Y12250D1*
+X18439D2*
+Y14876D1*
+X18314Y15001D1*
+X17813D1*
+X25062Y13734D2*
+X25046Y13750D1*
+X25062Y13734D2*
+X25831D1*
+X26064Y13501D1*
+X28627D1*
+X28815Y13313D1*
+Y12875D1*
+X29752Y12938D2*
+D24*
+X28877D1*
+X28815Y12875D1*
+X25062Y13931D2*
+D18*
+X25947D1*
+X26064Y13813D1*
+X27752D1*
+X27940Y14001D1*
+Y14341D1*
+X27909Y14372D1*
+D24*
+Y14658D1*
+X28002Y14751D1*
+Y15311D1*
+X28004Y15313D1*
+X19638Y16200D2*
+D19*
+Y15385D1*
+X19627Y15375D1*
+X16937Y17062D2*
+X16437D1*
+X16436Y17063D1*
+X15968D1*
+X17685Y17062D2*
+D13*
+X17687Y17064D1*
+Y17937D1*
+X17953Y18203D1*
+Y19015D1*
+X14016D2*
+Y18266D1*
+X13810Y18060D1*
+Y17062D1*
+X13812Y15937D2*
+D19*
+X14437D1*
+Y15437D1*
+X14563Y15311D1*
+X14906D1*
+X14437Y15937D2*
+Y17312D1*
+X14562Y17437D1*
+X15062D1*
+X23057Y21625D2*
+D18*
+Y20397D1*
+X23107Y20347D1*
+Y19420D1*
+X22955Y19268D1*
+X22750D1*
+D19*
+Y18435D1*
+X21811Y19813D2*
+Y19375D1*
+X21919Y19268D1*
+X22750D1*
+X23655D2*
+D18*
+X23466D1*
+X23307Y19427D1*
+Y20337D1*
+X23372Y20402D1*
+Y21625D1*
+X23655Y19268D2*
+D19*
+X23687Y19236D1*
+Y18435D1*
+X21313Y18937D2*
+D15*
+Y19186D1*
+X21063Y19436D1*
+Y19813D1*
+X21305Y23794D2*
+D13*
+X24809D1*
+Y21625D2*
+Y23794D1*
+X25835Y23625D2*
+X24978D1*
+X24809Y23794D1*
+X25835Y23625D2*
+Y22687D1*
+X21305Y23794D2*
+Y21625D1*
+X6125Y11437D2*
+X7312D1*
+X7562Y11687D1*
+X7937D1*
+D19*
+X8350D1*
+X9563Y9936D2*
+X10687D1*
+Y9937D1*
+X10437Y12187D2*
+X10937D1*
+X11000Y11188D2*
+Y10875D1*
+X10687Y10562D1*
+Y9937D1*
+X8187Y9000D2*
+D20*
+X9563D1*
+Y9188D1*
+X6125Y8917D2*
+X6792D1*
+X6875Y9000D1*
+X8187D1*
+X9563Y9188D2*
+D26*
+X9565Y9190D1*
+X12224D1*
+D23*
+X12688D1*
+X10437Y11187D2*
+D19*
+Y11687D1*
+X10764D1*
+D22*
+X11687D1*
+X9063Y16813D2*
+D27*
+X7187D1*
+D13*
+Y16937D1*
+X5375Y16813D2*
+D28*
+X7187D1*
+X6625Y13188D2*
+D19*
+Y12500D1*
+D13*
+X6812Y12313D1*
+X7875D1*
+X8001Y12187D1*
+D19*
+X8350D1*
+X11687Y11687D2*
+D13*
+Y12937D1*
+X10561Y14063D1*
+X6750D1*
+Y13313D1*
+X6625Y13188D1*
+X6750Y14063D2*
+D28*
+Y16751D1*
+D13*
+X6936Y16937D1*
+X7187D1*
+X15812Y14937D2*
+D19*
+X16125D1*
+X16376Y15188D1*
+Y15626D1*
+X16501Y15751D1*
+X16999D1*
+X17001Y15749D1*
+X29627Y5125D2*
+D13*
+Y6250D1*
+X30377Y19064D2*
+D25*
+Y20162D1*
+X30471Y20256D1*
+X31190Y19064D2*
+Y19626D1*
+X31065Y19751D1*
+Y20253D1*
+X31062Y20256D1*
+X29881Y21437D2*
+D18*
+Y21693D1*
+X30502Y22314D1*
+Y23377D1*
+X30690Y23564D1*
+X31627D1*
+X31877Y23314D1*
+Y23002D1*
+X32065Y22814D1*
+X33935D1*
+X34750Y22000D1*
+Y20250D1*
+X35753Y19246D1*
+Y19064D1*
+X30471Y21437D2*
+Y21533D1*
+X30877Y21939D1*
+X31127D1*
+X31690Y22501D1*
+X33748D1*
+X34437Y21812D1*
+Y19937D1*
+X34937Y19437D1*
+Y19067D1*
+X34940Y19064D1*
+X29290Y20256D2*
+D25*
+Y20227D1*
+X28752Y19689D1*
+Y19064D1*
+X31062Y21437D2*
+D18*
+Y21499D1*
+X31440Y21876D1*
+X31565D1*
+X31877Y22189D1*
+X33560D1*
+X34128Y21621D1*
+Y19064D1*
+X31653Y21437D2*
+Y21526D1*
+X32002Y21876D1*
+X33378D1*
+X33753Y21501D1*
+Y20001D1*
+X33315Y19564D1*
+Y19064D1*
+X32002D2*
+D25*
+Y19564D1*
+X31690Y19876D1*
+Y20219D1*
+X31653Y20256D1*
+X29565Y19064D2*
+Y19501D1*
+X29877Y19814D1*
+Y20252D1*
+X29881Y20256D1*
+X25062Y13143D2*
+D18*
+X25734D1*
+X26064Y12813D1*
+Y11310D1*
+X26187Y11187D1*
+Y7500D2*
+D25*
+Y6935D1*
+X26064Y6812D1*
+X25564D1*
+X25127Y6375D1*
+Y5999D1*
+D18*
+X25151Y5975D1*
+X35000Y8850D2*
+X34975Y8875D1*
+X33190D1*
+X32252Y7937D1*
+X22001D1*
+X20437Y9502D1*
+Y13061D1*
+X20716Y13340D1*
+X21755D1*
+X35000Y9637D2*
+X34987Y9625D1*
+X34937D1*
+X34500Y9187D1*
+X33127D1*
+X32127Y8187D1*
+X22126D1*
+X20689Y9625D1*
+Y12875D1*
+X20957Y13143D1*
+X21755D1*
+X35000Y11212D2*
+X34974Y11187D1*
+X34377D1*
+X31877Y8688D1*
+X22376D1*
+X21251Y9813D1*
+Y11313D1*
+X20126D2*
+Y13188D1*
+X20672Y13734D1*
+X21755D1*
+X35000Y12000D2*
+X34562D1*
+X33878Y11315D1*
+Y11125D1*
+X31690Y8938D1*
+X22501D1*
+X21501Y9938D1*
+Y10250D1*
+X21564Y10313D1*
+Y10250D1*
+X19689D2*
+X19501Y10438D1*
+Y11688D1*
+X19626Y11813D1*
+Y13438D1*
+X20512Y14324D1*
+X21755D1*
+X35787Y12000D2*
+Y12404D1*
+X35565Y12625D1*
+X34565D1*
+X33503Y11563D1*
+Y11250D1*
+X31440Y9188D1*
+X22689D1*
+X22376Y9500D1*
+Y10938D2*
+X22501Y11063D1*
+Y11500D1*
+X22523Y11479D1*
+Y12179D1*
+X35787Y11212D2*
+X35798Y11239D1*
+X36054D1*
+X36315Y11500D1*
+Y12500D1*
+X35878Y12938D1*
+X34253D1*
+X32190Y10875D1*
+X30690D1*
+X28127Y10938D2*
+X27564D1*
+X27189Y10563D1*
+X25877D1*
+X25502Y10938D1*
+Y12625D1*
+X25378Y12750D1*
+X25062D1*
+X35787Y10425D2*
+X35800Y10438D1*
+X36065D1*
+X36565Y10938D1*
+Y12688D1*
+X36003Y13250D1*
+X34128D1*
+X32190Y11313D1*
+X31127D1*
+X28502D2*
+X27502D1*
+X27002Y10813D1*
+X25939D1*
+X25689Y11063D1*
+Y12750D1*
+X25493Y12946D1*
+X25062D1*
+X35000Y10425D2*
+X34987Y10437D1*
+X34000D1*
+X32000Y8437D1*
+X22251D1*
+X20937Y9752D1*
+Y12750D1*
+X21134Y12946D1*
+X21755D1*
+Y12750D2*
+X21250D1*
+X21187Y12687D1*
+Y12002D1*
+X21564Y11625D1*
+Y10875D1*
+X22376Y10063D1*
+X28065D1*
+X31002Y13000D1*
+Y14438D1*
+X33315Y16751D1*
+Y18316D1*
+X34128D2*
+Y17126D1*
+X31315Y14313D1*
+Y12938D1*
+X28190Y9813D1*
+X22126D1*
+X22001Y9938D1*
+X22064Y11313D2*
+X22314Y11563D1*
+Y12167D1*
+X22326Y12179D1*
+X23507D2*
+X23500Y12171D1*
+Y11750D1*
+X23438Y11688D1*
+X23189D1*
+X23002Y11500D1*
+Y11000D1*
+X23127Y10875D1*
+Y10750D1*
+X23189D1*
+Y9500D2*
+Y9563D1*
+X28315D1*
+X31627Y12875D1*
+Y14188D1*
+X34940Y17501D1*
+Y18316D1*
+X24491Y12179D2*
+X24500Y12170D1*
+Y12378D1*
+X35753Y18316D2*
+Y17876D1*
+X31940Y14063D1*
+Y12813D1*
+X30190Y11063D1*
+Y10875D1*
+X29815Y10500D1*
+X27690D2*
+X27502Y10313D1*
+X25814D1*
+X25314Y10813D1*
+Y11500D1*
+X25002Y11813D1*
+X24564D1*
+X24491Y11886D1*
+Y12179D1*
+X21755Y14127D2*
+X20691D1*
+X19876Y13313D1*
+Y11688D1*
+X19751Y11563D1*
+Y11000D1*
+X20126Y10625D1*
+Y9313D1*
+X21876Y7562D1*
+X22626D1*
+X22789Y7400D1*
+Y5975D1*
+X22719Y12179D2*
+Y11220D1*
+X22751Y11188D1*
+Y10625D1*
+X22001Y5975D2*
+D19*
+Y7000D1*
+X21999D1*
+X24562Y19937D2*
+D13*
+X24483Y20016D1*
+D22*
+X24002D1*
+D29*
+X23655D1*
+D19*
+X23687Y20048D1*
+Y21625D1*
+X12688Y9938D2*
+Y10250D1*
+D13*
+Y10750D1*
+X12813Y10875D1*
+Y14376D1*
+X11438Y15751D1*
+Y22001D1*
+X12375Y22939D1*
+X19377D1*
+X19625Y23187D1*
+Y24437D1*
+X19937Y24750D1*
+X28437D1*
+X28937Y24250D1*
+Y22687D1*
+X27375Y21125D1*
+X26625D1*
+X25500Y20000D1*
+X24625D1*
+X24562Y19937D1*
+X20939Y18031D2*
+D15*
+X21062Y17908D1*
+Y17187D1*
+D18*
+X21064Y17185D1*
+Y16751D1*
+X21314Y16501D1*
+X22251D1*
+X22719Y16033D1*
+Y15486D1*
+X24562Y19189D2*
+D13*
+Y19062D1*
+X24563Y19061D1*
+Y18499D1*
+D18*
+X24564Y18497D1*
+Y17376D1*
+X24294Y17106D1*
+Y15486D1*
+D20*
+X23377Y11250D3*
+X24314Y14188D3*
+X19064Y11750D3*
+X26937Y22000D3*
+X15937Y16437D3*
+Y13937D3*
+Y16437D3*
+X9437Y13250D3*
+X11569Y10444D3*
+X29752Y14813D3*
+X24062Y13562D3*
+X26752Y15251D3*
+X11569Y10444D3*
+X19627Y14188D3*
+X24752Y10938D3*
+X24062Y13562D3*
+X23187Y13000D3*
+D71*
+X26814Y11188D3*
+Y7500D3*
+X21501Y12250D3*
+X18439D3*
+X10937Y12187D3*
+X11000Y11188D3*
+X26187Y11187D3*
+Y7500D3*
+X21251Y11313D3*
+X20126D3*
+X21564Y10250D3*
+X19689D3*
+X22376Y9500D3*
+Y10938D3*
+X30690Y10875D3*
+X28127Y10938D3*
+X31127Y11313D3*
+X28502D3*
+X22001Y9938D3*
+X22064Y11313D3*
+X23189Y10750D3*
+Y9500D3*
+X29815Y10500D3*
+X27690D3*
+X22751Y10625D3*
+D20*
+X23562Y14687D3*
+X22625D3*
+X22564Y14001D3*
+X24062Y13000D3*
+X24187Y7187D3*
+X22500Y20562D3*
+X9187Y12187D3*
+X9687D3*
+X9187Y11687D3*
+X9687D3*
+X9187Y11187D3*
+X9688Y11188D3*
+X27437Y18437D3*
+X26937Y19437D3*
+X26437Y18437D3*
+X28687Y7187D3*
+X29752Y15313D3*
+X30937Y7187D3*
+X32937D3*
+X31690Y16376D3*
+X30937Y5187D3*
+X36187Y21437D3*
+X35937Y16687D3*
+Y14687D3*
+X34187D3*
+X32687Y13187D3*
+X20876Y15751D3*
+X6937Y23437D3*
+X8937D3*
+X10437D3*
+X5437Y19187D3*
+X8312Y20687D3*
+X8687Y15187D3*
+X10187D3*
+X11437Y14187D3*
+X4500Y15437D3*
+Y13937D3*
+Y12437D3*
+Y10937D3*
+Y9437D3*
+X5687Y10187D3*
+X6937D3*
+X8187Y10625D3*
+X7937Y7375D3*
+Y5625D3*
+X10937D3*
+X13937D3*
+X10937Y7375D3*
+X13937D3*
+X16937D3*
+Y5625D3*
+X14437Y21437D3*
+X17437D3*
+X9562Y20687D3*
+X17376Y13938D3*
+X8312Y18251D3*
+X9562Y18249D3*
+X27127Y12000D3*
+X29002D3*
+X20839Y23876D2*
+D30*
+X25976D1*
+X20839Y23627D2*
+X25976D1*
+X20839Y23378D2*
+X25976D1*
+X20839Y23130D2*
+X22055D1*
+X22326D2*
+X23785D1*
+X24062D2*
+X25976D1*
+X20839Y22881D2*
+X21723D1*
+X22663D2*
+X23453D1*
+X24394D2*
+X25976D1*
+X20839Y22632D2*
+X21601D1*
+X24526D2*
+X25976D1*
+X20839Y22384D2*
+X21601D1*
+X24526D2*
+X25976D1*
+X20839Y22135D2*
+X21601D1*
+X24526D2*
+X25976D1*
+X20839Y21886D2*
+X21601D1*
+X24526D2*
+X25976D1*
+X20839Y21638D2*
+X21601D1*
+X24526D2*
+X25812D1*
+X20839Y21389D2*
+X21601D1*
+X24526D2*
+X25562D1*
+X20839Y21140D2*
+X21601D1*
+X24526D2*
+X25312D1*
+X23430Y22751D2*
+X23484Y22892D1*
+X23560Y22991D1*
+X23658Y23068D1*
+X23771Y23119D1*
+X23894Y23142D1*
+X24018Y23134D1*
+X24137Y23096D1*
+X24243Y23030D1*
+X24330Y22940D1*
+X24393Y22833D1*
+X24417Y22746D1*
+X24500Y22644D1*
+X24502Y21064D1*
+X25261D1*
+X26002Y21803D1*
+X26000Y24125D1*
+X20812D1*
+X20814Y21064D1*
+X21626D1*
+Y22626D1*
+X21688Y22732D1*
+X21752Y22892D1*
+X21828Y22991D1*
+X21925Y23068D1*
+X22039Y23119D1*
+X22162Y23142D1*
+X22286Y23134D1*
+X22405Y23096D1*
+X22511Y23030D1*
+X22598Y22940D1*
+X22660Y22833D1*
+X22683Y22754D1*
+X23427Y22751D1*
+X5525Y16877D2*
+X9164D1*
+X5525Y16629D2*
+X9164D1*
+X5525Y16380D2*
+X9164D1*
+X5525Y16131D2*
+X7492D1*
+X5525Y15882D2*
+X7242D1*
+X5525Y15634D2*
+X7227D1*
+X5525Y15385D2*
+X7227D1*
+X5525Y15136D2*
+X7227D1*
+X5525Y14888D2*
+X7227D1*
+X5525Y14639D2*
+X7227D1*
+X5525Y14390D2*
+X7227D1*
+X5525Y14142D2*
+X7227D1*
+X5525Y13893D2*
+X7004D1*
+X5525Y13644D2*
+X6789D1*
+X5525Y13396D2*
+X6789D1*
+X5525Y13147D2*
+X6789D1*
+X5525Y12898D2*
+X6789D1*
+X5525Y12650D2*
+X6789D1*
+X5525Y12401D2*
+X6789D1*
+X5500Y16751D2*
+Y12313D1*
+X6812D1*
+X6814Y13629D1*
+X6849Y13714D1*
+X7252Y14117D1*
+X7250Y15688D1*
+X7252Y15817D1*
+X7286Y15902D1*
+X7665Y16278D1*
+X7750Y16313D1*
+X9188D1*
+Y17126D1*
+X5500D1*
+Y16751D1*
+X4087Y24573D2*
+X19113D1*
+X29351D2*
+X37351D1*
+X4087Y24325D2*
+X4664D1*
+X5960D2*
+X19094D1*
+X29460D2*
+X35539D1*
+X36835D2*
+X37351D1*
+X4087Y24076D2*
+X4449D1*
+X6179D2*
+X19094D1*
+X26683D2*
+X28371D1*
+X29468D2*
+X35320D1*
+X37054D2*
+X37351D1*
+X4087Y23827D2*
+X4340D1*
+X6284D2*
+X19094D1*
+X26687D2*
+X28406D1*
+X29468D2*
+X30355D1*
+X31960D2*
+X35215D1*
+X37159D2*
+X37351D1*
+X4087Y23579D2*
+X4301D1*
+X6323D2*
+X19094D1*
+X26691D2*
+X28406D1*
+X29468D2*
+X30125D1*
+X32210D2*
+X35176D1*
+X37198D2*
+X37351D1*
+X4087Y23330D2*
+X4332D1*
+X6296D2*
+X12031D1*
+X26691D2*
+X28406D1*
+X29468D2*
+X30074D1*
+X32308D2*
+X35203D1*
+X37171D2*
+X37351D1*
+X4087Y23081D2*
+X4426D1*
+X6198D2*
+X11777D1*
+X26694D2*
+X28406D1*
+X29468D2*
+X30074D1*
+X34265D2*
+X35301D1*
+X37073D2*
+X37351D1*
+X4087Y22832D2*
+X4625D1*
+X5999D2*
+X11531D1*
+X20011D2*
+X20102D1*
+X26698D2*
+X28344D1*
+X29468D2*
+X30074D1*
+X34515D2*
+X35500D1*
+X36874D2*
+X37351D1*
+X4087Y22584D2*
+X5172D1*
+X5451D2*
+X11281D1*
+X19761D2*
+X20101D1*
+X26702D2*
+X28094D1*
+X29456D2*
+X30074D1*
+X34765D2*
+X36047D1*
+X36326D2*
+X37351D1*
+X4087Y22335D2*
+X7898D1*
+X9976D2*
+X11035D1*
+X13800D2*
+X18172D1*
+X19702D2*
+X20101D1*
+X26706D2*
+X27848D1*
+X29323D2*
+X29926D1*
+X35011D2*
+X37351D1*
+X4087Y22086D2*
+X7730D1*
+X10144D2*
+X10914D1*
+X13874D2*
+X18094D1*
+X19780D2*
+X20101D1*
+X26706D2*
+X27598D1*
+X29077D2*
+X29676D1*
+X35171D2*
+X37351D1*
+X4087Y21838D2*
+X6887D1*
+X7288D2*
+X7672D1*
+X10202D2*
+X10906D1*
+X12015D2*
+X12191D1*
+X13874D2*
+X18094D1*
+X19780D2*
+X20101D1*
+X26710D2*
+X27348D1*
+X28827D2*
+X29422D1*
+X35179D2*
+X37351D1*
+X4087Y21589D2*
+X6484D1*
+X10171D2*
+X10906D1*
+X11968D2*
+X12269D1*
+X13792D2*
+X18176D1*
+X19698D2*
+X20101D1*
+X28577D2*
+X29285D1*
+X35179D2*
+X37351D1*
+X4087Y21340D2*
+X6348D1*
+X10046D2*
+X10906D1*
+X11968D2*
+X12469D1*
+X13597D2*
+X18371D1*
+X19503D2*
+X20101D1*
+X28331D2*
+X29273D1*
+X35179D2*
+X37351D1*
+X4087Y21092D2*
+X6312D1*
+X7858D2*
+X8176D1*
+X9698D2*
+X10906D1*
+X11968D2*
+X20101D1*
+X28081D2*
+X29375D1*
+X35179D2*
+X37351D1*
+X4087Y20843D2*
+X6312D1*
+X7858D2*
+X10906D1*
+X11968D2*
+X12734D1*
+X13327D2*
+X18641D1*
+X19233D2*
+X20101D1*
+X27831D2*
+X29195D1*
+X29384D2*
+X29785D1*
+X29976D2*
+X30379D1*
+X30565D2*
+X30969D1*
+X31155D2*
+X31558D1*
+X31748D2*
+X32148D1*
+X32339D2*
+X32738D1*
+X32927D2*
+X33324D1*
+X35179D2*
+X37351D1*
+X4087Y20594D2*
+X6312D1*
+X7858D2*
+X10906D1*
+X11968D2*
+X12348D1*
+X13714D2*
+X18254D1*
+X19620D2*
+X20101D1*
+X26835D2*
+X28781D1*
+X35179D2*
+X37351D1*
+X4087Y20346D2*
+X6312D1*
+X7858D2*
+X10906D1*
+X11968D2*
+X12191D1*
+X13874D2*
+X18098D1*
+X19776D2*
+X20098D1*
+X26585D2*
+X28680D1*
+X35253D2*
+X37351D1*
+X4087Y20097D2*
+X6312D1*
+X7858D2*
+X10906D1*
+X11968D2*
+X12128D1*
+X13937D2*
+X18031D1*
+X19843D2*
+X20426D1*
+X22448D2*
+X22676D1*
+X26335D2*
+X28519D1*
+X35499D2*
+X37351D1*
+X4087Y19848D2*
+X6367D1*
+X7808D2*
+X10906D1*
+X11968D2*
+X12135D1*
+X14343D2*
+X17625D1*
+X19835D2*
+X20426D1*
+X22448D2*
+X22676D1*
+X26089D2*
+X28324D1*
+X35749D2*
+X37351D1*
+X4087Y19600D2*
+X6531D1*
+X7644D2*
+X10906D1*
+X11968D2*
+X12215D1*
+X14706D2*
+X17262D1*
+X19753D2*
+X20426D1*
+X25831D2*
+X28078D1*
+X36429D2*
+X37351D1*
+X4087Y19351D2*
+X10906D1*
+X11968D2*
+X12402D1*
+X14862D2*
+X17105D1*
+X19566D2*
+X20426D1*
+X25237D2*
+X28078D1*
+X36429D2*
+X37351D1*
+X4087Y19102D2*
+X10906D1*
+X11968D2*
+X13109D1*
+X14921D2*
+X17047D1*
+X18858D2*
+X20715D1*
+X25237D2*
+X28078D1*
+X36429D2*
+X37351D1*
+X4087Y18853D2*
+X10906D1*
+X11968D2*
+X13121D1*
+X14913D2*
+X17055D1*
+X18851D2*
+X19687D1*
+X25237D2*
+X28078D1*
+X36429D2*
+X37351D1*
+X4087Y18605D2*
+X6824D1*
+X7550D2*
+X10906D1*
+X11968D2*
+X13207D1*
+X14827D2*
+X17141D1*
+X18765D2*
+X19437D1*
+X21819D2*
+X22074D1*
+X25237D2*
+X28078D1*
+X36429D2*
+X37351D1*
+X4087Y18356D2*
+X6543D1*
+X7831D2*
+X10906D1*
+X11968D2*
+X13375D1*
+X14632D2*
+X17336D1*
+X18569D2*
+X19207D1*
+X25198D2*
+X28078D1*
+X36429D2*
+X37351D1*
+X4087Y18107D2*
+X6433D1*
+X7941D2*
+X10906D1*
+X11968D2*
+X13281D1*
+X14519D2*
+X17187D1*
+X18472D2*
+X19144D1*
+X25198D2*
+X28078D1*
+X36429D2*
+X37351D1*
+X4087Y17859D2*
+X6418D1*
+X7956D2*
+X10906D1*
+X11968D2*
+X13281D1*
+X15648D2*
+X17156D1*
+X18347D2*
+X18902D1*
+X25198D2*
+X28078D1*
+X36429D2*
+X37351D1*
+X4087Y17610D2*
+X4523D1*
+X6226D2*
+X6414D1*
+X7960D2*
+X10906D1*
+X11968D2*
+X12426D1*
+X15648D2*
+X16301D1*
+X18319D2*
+X18512D1*
+X24995D2*
+X26012D1*
+X29773D2*
+X29949D1*
+X32210D2*
+X32887D1*
+X36085D2*
+X37351D1*
+X4087Y17361D2*
+X4523D1*
+X9710D2*
+X10906D1*
+X11968D2*
+X12426D1*
+X18319D2*
+X18414D1*
+X24995D2*
+X25766D1*
+X29523D2*
+X29828D1*
+X31960D2*
+X32887D1*
+X35835D2*
+X37351D1*
+X4087Y17113D2*
+X4523D1*
+X9710D2*
+X10906D1*
+X11968D2*
+X12426D1*
+X18319D2*
+X18410D1*
+X24898D2*
+X25516D1*
+X31710D2*
+X32887D1*
+X35589D2*
+X37351D1*
+X4087Y16864D2*
+X4523D1*
+X9710D2*
+X10906D1*
+X11968D2*
+X12426D1*
+X14917D2*
+X15383D1*
+X18319D2*
+X18410D1*
+X24726D2*
+X25266D1*
+X31464D2*
+X32832D1*
+X35339D2*
+X37351D1*
+X4087Y16615D2*
+X4523D1*
+X9710D2*
+X10906D1*
+X11968D2*
+X12426D1*
+X14917D2*
+X15383D1*
+X18319D2*
+X18410D1*
+X24726D2*
+X25019D1*
+X31214D2*
+X32582D1*
+X35089D2*
+X37351D1*
+X4087Y16367D2*
+X4523D1*
+X9710D2*
+X10906D1*
+X11968D2*
+X12430D1*
+X14917D2*
+X18410D1*
+X20370D2*
+X20851D1*
+X30964D2*
+X32332D1*
+X34843D2*
+X37351D1*
+X4087Y16118D2*
+X4523D1*
+X9687D2*
+X10906D1*
+X11968D2*
+X12430D1*
+X14917D2*
+X16207D1*
+X20370D2*
+X21156D1*
+X30718D2*
+X32086D1*
+X34593D2*
+X37351D1*
+X4087Y15869D2*
+X4996D1*
+X9491D2*
+X10906D1*
+X12058D2*
+X12430D1*
+X14917D2*
+X15965D1*
+X20370D2*
+X21887D1*
+X26718D2*
+X27367D1*
+X28640D2*
+X31836D1*
+X34343D2*
+X37351D1*
+X4087Y15621D2*
+X4996D1*
+X7753D2*
+X10926D1*
+X12308D2*
+X12430D1*
+X15491D2*
+X15894D1*
+X20370D2*
+X21887D1*
+X26468D2*
+X27367D1*
+X28640D2*
+X31586D1*
+X34097D2*
+X37351D1*
+X4087Y15372D2*
+X4996D1*
+X7753D2*
+X11078D1*
+X20304D2*
+X21887D1*
+X26429D2*
+X27367D1*
+X28640D2*
+X31340D1*
+X33847D2*
+X37351D1*
+X4087Y15123D2*
+X4996D1*
+X7753D2*
+X11328D1*
+X20304D2*
+X21058D1*
+X26429D2*
+X27367D1*
+X28640D2*
+X31090D1*
+X33597D2*
+X37351D1*
+X4087Y14874D2*
+X4996D1*
+X7753D2*
+X11574D1*
+X14144D2*
+X14320D1*
+X20304D2*
+X21058D1*
+X26429D2*
+X27254D1*
+X29472D2*
+X30840D1*
+X33351D2*
+X37351D1*
+X4087Y14626D2*
+X4996D1*
+X7753D2*
+X11824D1*
+X14144D2*
+X15226D1*
+X19468D2*
+X20219D1*
+X22448D2*
+X23949D1*
+X29472D2*
+X30617D1*
+X33101D2*
+X37351D1*
+X4087Y14377D2*
+X4996D1*
+X10987D2*
+X12074D1*
+X14144D2*
+X18008D1*
+X19468D2*
+X19969D1*
+X22448D2*
+X23766D1*
+X29472D2*
+X30574D1*
+X32851D2*
+X37351D1*
+X4087Y14128D2*
+X4996D1*
+X11233D2*
+X12281D1*
+X14144D2*
+X18008D1*
+X19468D2*
+X19719D1*
+X22448D2*
+X23738D1*
+X29472D2*
+X30574D1*
+X32605D2*
+X37351D1*
+X4087Y13880D2*
+X4996D1*
+X11483D2*
+X12281D1*
+X14144D2*
+X18008D1*
+X22448D2*
+X23828D1*
+X29472D2*
+X30574D1*
+X32370D2*
+X37351D1*
+X4087Y13631D2*
+X4996D1*
+X11733D2*
+X12281D1*
+X14144D2*
+X18008D1*
+X22448D2*
+X24367D1*
+X29093D2*
+X30574D1*
+X32370D2*
+X33965D1*
+X36167D2*
+X37351D1*
+X4087Y13382D2*
+X4996D1*
+X7319D2*
+X10504D1*
+X11980D2*
+X12281D1*
+X16698D2*
+X18008D1*
+X22448D2*
+X24367D1*
+X30429D2*
+X30574D1*
+X32370D2*
+X33660D1*
+X36468D2*
+X37351D1*
+X4087Y13134D2*
+X4996D1*
+X7319D2*
+X10750D1*
+X12179D2*
+X12285D1*
+X16698D2*
+X18008D1*
+X22448D2*
+X24367D1*
+X30429D2*
+X30539D1*
+X32370D2*
+X33414D1*
+X36718D2*
+X37351D1*
+X4087Y12885D2*
+X4996D1*
+X7319D2*
+X11000D1*
+X16698D2*
+X18008D1*
+X22448D2*
+X24367D1*
+X26745D2*
+X27254D1*
+X32370D2*
+X33164D1*
+X36944D2*
+X37351D1*
+X4087Y12636D2*
+X4996D1*
+X8909D2*
+X9879D1*
+X16698D2*
+X18008D1*
+X26745D2*
+X27254D1*
+X32331D2*
+X32914D1*
+X36995D2*
+X37351D1*
+X4087Y12388D2*
+X4996D1*
+X8909D2*
+X9879D1*
+X16698D2*
+X17906D1*
+X26745D2*
+X27254D1*
+X32112D2*
+X32668D1*
+X36995D2*
+X37351D1*
+X4087Y12139D2*
+X5000D1*
+X8909D2*
+X9879D1*
+X16612D2*
+X17902D1*
+X26745D2*
+X29543D1*
+X31862D2*
+X32418D1*
+X36995D2*
+X37351D1*
+X4087Y11890D2*
+X5156D1*
+X8909D2*
+X9879D1*
+X16612D2*
+X18031D1*
+X26745D2*
+X29293D1*
+X31616D2*
+X32168D1*
+X36995D2*
+X37351D1*
+X4087Y11642D2*
+X5312D1*
+X8909D2*
+X9879D1*
+X13343D2*
+X13426D1*
+X16612D2*
+X18465D1*
+X27105D2*
+X27240D1*
+X28937D2*
+X29047D1*
+X36995D2*
+X37351D1*
+X4087Y11393D2*
+X5312D1*
+X8909D2*
+X9879D1*
+X13343D2*
+X13609D1*
+X16612D2*
+X18535D1*
+X36995D2*
+X37351D1*
+X4087Y11144D2*
+X5312D1*
+X7757D2*
+X9879D1*
+X13343D2*
+X13609D1*
+X16612D2*
+X18535D1*
+X24347D2*
+X24637D1*
+X36995D2*
+X37351D1*
+X4087Y10895D2*
+X5312D1*
+X6937D2*
+X9879D1*
+X11480D2*
+X12180D1*
+X13343D2*
+X13609D1*
+X14769D2*
+X15516D1*
+X24347D2*
+X24695D1*
+X36991D2*
+X37351D1*
+X4087Y10647D2*
+X10215D1*
+X11417D2*
+X12156D1*
+X13288D2*
+X13609D1*
+X14769D2*
+X15488D1*
+X36870D2*
+X37351D1*
+X4087Y10398D2*
+X8887D1*
+X11323D2*
+X12101D1*
+X13276D2*
+X13609D1*
+X14769D2*
+X15484D1*
+X30355D2*
+X30446D1*
+X30933D2*
+X32055D1*
+X36624D2*
+X37351D1*
+X4087Y10149D2*
+X8887D1*
+X11323D2*
+X12101D1*
+X13276D2*
+X13609D1*
+X14769D2*
+X15027D1*
+X30230D2*
+X31805D1*
+X36421D2*
+X37351D1*
+X4087Y9901D2*
+X8887D1*
+X11323D2*
+X12101D1*
+X14769D2*
+X15027D1*
+X17066D2*
+X18535D1*
+X29249D2*
+X31555D1*
+X34062D2*
+X34359D1*
+X36230D2*
+X37351D1*
+X4087Y9652D2*
+X7453D1*
+X14769D2*
+X15027D1*
+X17066D2*
+X18543D1*
+X29003D2*
+X31308D1*
+X33812D2*
+X34305D1*
+X35694D2*
+X37351D1*
+X4087Y9403D2*
+X5312D1*
+X14769D2*
+X15027D1*
+X17066D2*
+X18672D1*
+X36191D2*
+X37351D1*
+X4087Y9155D2*
+X5312D1*
+X14769D2*
+X15027D1*
+X17066D2*
+X18922D1*
+X36409D2*
+X37351D1*
+X4087Y8906D2*
+X5312D1*
+X13276D2*
+X13609D1*
+X14843D2*
+X15026D1*
+X17066D2*
+X19168D1*
+X36480D2*
+X37351D1*
+X4087Y8657D2*
+X5312D1*
+X12386D2*
+X13617D1*
+X36452D2*
+X37351D1*
+X4087Y8409D2*
+X5312D1*
+X7038D2*
+X7453D1*
+X8921D2*
+X13726D1*
+X33319D2*
+X34469D1*
+X36366D2*
+X37351D1*
+X4087Y8160D2*
+X13969D1*
+X33073D2*
+X35207D1*
+X36366D2*
+X37351D1*
+X4087Y7911D2*
+X14219D1*
+X32823D2*
+X35207D1*
+X36366D2*
+X37351D1*
+X4087Y7663D2*
+X19465D1*
+X32573D2*
+X34418D1*
+X36366D2*
+X37351D1*
+X4087Y7414D2*
+X19715D1*
+X23218D2*
+X25644D1*
+X27358D2*
+X34168D1*
+X36312D2*
+X37351D1*
+X4087Y7165D2*
+X19859D1*
+X23218D2*
+X25285D1*
+X27593D2*
+X33922D1*
+X36105D2*
+X37351D1*
+X4087Y6916D2*
+X19859D1*
+X23218D2*
+X25027D1*
+X27851D2*
+X33672D1*
+X35855D2*
+X37351D1*
+X4087Y6668D2*
+X19859D1*
+X23218D2*
+X24781D1*
+X30265D2*
+X33422D1*
+X35042D2*
+X37351D1*
+X4087Y6419D2*
+X19859D1*
+X26276D2*
+X26855D1*
+X30265D2*
+X33176D1*
+X34796D2*
+X37351D1*
+X4087Y6170D2*
+X4805D1*
+X5819D2*
+X19859D1*
+X25816D2*
+X26891D1*
+X30265D2*
+X32926D1*
+X34546D2*
+X35680D1*
+X36694D2*
+X37351D1*
+X4087Y5922D2*
+X4516D1*
+X6112D2*
+X19859D1*
+X25843D2*
+X26891D1*
+X30265D2*
+X32676D1*
+X34296D2*
+X35387D1*
+X36987D2*
+X37351D1*
+X4087Y5673D2*
+X4371D1*
+X6253D2*
+X19859D1*
+X25773D2*
+X26793D1*
+X30401D2*
+X32430D1*
+X34050D2*
+X35246D1*
+X37128D2*
+X37351D1*
+X4087Y5424D2*
+X4308D1*
+X6316D2*
+X19859D1*
+X25558D2*
+X26516D1*
+X28241D2*
+X28855D1*
+X30401D2*
+X32180D1*
+X33800D2*
+X35183D1*
+X37191D2*
+X37351D1*
+X4087Y5176D2*
+X4312D1*
+X6312D2*
+X19863D1*
+X22675D2*
+X26269D1*
+X28241D2*
+X28855D1*
+X30401D2*
+X31930D1*
+X33550D2*
+X35187D1*
+X37187D2*
+X37351D1*
+X4087Y4927D2*
+X4383D1*
+X6245D2*
+X19961D1*
+X28241D2*
+X28855D1*
+X30401D2*
+X31683D1*
+X33304D2*
+X35254D1*
+X37120D2*
+X37351D1*
+X4087Y4678D2*
+X4535D1*
+X6093D2*
+X20199D1*
+X33054D2*
+X35410D1*
+X36964D2*
+X37351D1*
+X4087Y4430D2*
+X4851D1*
+X5773D2*
+X20449D1*
+X32804D2*
+X35726D1*
+X36648D2*
+X37351D1*
+X4087Y4181D2*
+X20699D1*
+X32558D2*
+X37351D1*
+X9614Y17434D2*
+X9683D1*
+Y16193D1*
+X9668Y16188D1*
+X9646Y16066D1*
+X9583Y15958D1*
+X9487Y15879D1*
+X9366Y15838D1*
+X9063Y15833D1*
+X7895D1*
+X7728Y15664D1*
+X7730Y14567D1*
+X10561Y14568D1*
+X10685Y14553D1*
+X10801Y14508D1*
+X10918Y14420D1*
+X12044Y13294D1*
+X12121Y13196D1*
+X12171Y13082D1*
+X12192Y12937D1*
+Y12300D1*
+X12307Y12298D1*
+X12308Y14168D1*
+X11081Y15394D1*
+X11004Y15492D1*
+X10954Y15606D1*
+X10933Y15751D1*
+Y22001D1*
+X10948Y22125D1*
+X10993Y22241D1*
+X11081Y22359D1*
+X12018Y23296D1*
+X12117Y23373D1*
+X12231Y23423D1*
+X12375Y23444D1*
+X19121D1*
+X19119Y24437D1*
+X19135Y24561D1*
+X19180Y24677D1*
+X19287Y24814D1*
+X4062D1*
+Y4062D1*
+X20839D1*
+X20046Y4857D1*
+X19969Y4955D1*
+X19915Y5067D1*
+X19887Y5193D1*
+X19884Y5875D1*
+Y7269D1*
+X19397Y7756D1*
+X14626Y7757D1*
+X14502Y7771D1*
+X14384Y7813D1*
+X14276Y7882D1*
+X13796Y8358D1*
+X13718Y8455D1*
+X13664Y8568D1*
+X13636Y8693D1*
+X13633Y9082D1*
+X13253Y9081D1*
+X13250Y8707D1*
+X12488D1*
+X12326Y8649D1*
+X12099Y8640D1*
+X10212D1*
+X10213Y8577D1*
+X9920D1*
+X9801Y8499D1*
+X9684Y8458D1*
+X9563Y8445D1*
+X8895D1*
+X8897Y8330D1*
+X7478D1*
+Y8443D1*
+X7082Y8445D1*
+X6990Y8399D1*
+X6913Y8376D1*
+Y8247D1*
+X5336D1*
+Y9587D1*
+X6913D1*
+Y9552D1*
+X7481Y9555D1*
+X7478Y9670D1*
+X8897D1*
+Y9557D1*
+X8912Y9575D1*
+Y10547D1*
+X10072D1*
+X10076Y10587D1*
+X10236D1*
+X10249Y10685D1*
+X10219Y10732D1*
+X9905D1*
+X9909Y11642D1*
+X9905Y11732D1*
+X9909Y12142D1*
+X9905Y12232D1*
+Y12642D1*
+X10674D1*
+X10838Y12703D1*
+X10963Y12711D1*
+X11086Y12691D1*
+X11182Y12649D1*
+Y12730D1*
+X10349Y13560D1*
+X9061Y13558D1*
+X7370D1*
+X7297Y13483D1*
+X7295Y12815D1*
+X7875Y12818D1*
+X7999Y12803D1*
+X8115Y12757D1*
+X8232Y12670D1*
+X8261Y12641D1*
+X8883Y12642D1*
+X8879Y11732D1*
+X8883Y11642D1*
+Y11232D1*
+X8143Y11226D1*
+X8023Y11189D1*
+X7769Y11182D1*
+X7669Y11080D1*
+X7571Y11003D1*
+X7457Y10953D1*
+X7312Y10932D1*
+X6910D1*
+X6913Y10767D1*
+X5336D1*
+Y11833D1*
+X5192Y11884D1*
+X5098Y11965D1*
+X5038Y12074D1*
+X5020Y12188D1*
+Y15989D1*
+X4547Y15986D1*
+Y17641D1*
+X6202D1*
+Y17606D1*
+X6441D1*
+X6438Y17686D1*
+X6481D1*
+X6445Y17835D1*
+X6439Y17960D1*
+X6453Y18084D1*
+X6487Y18204D1*
+X6541Y18316D1*
+X6613Y18418D1*
+X6701Y18507D1*
+X6803Y18580D1*
+X6915Y18635D1*
+X7035Y18670D1*
+X7158Y18685D1*
+X7283Y18680D1*
+X7405Y18653D1*
+X7521Y18607D1*
+X7628Y18542D1*
+X7722Y18461D1*
+X7802Y18364D1*
+X7864Y18256D1*
+X7908Y18139D1*
+X7932Y18017D1*
+X7936Y17937D1*
+X7925Y17813D1*
+X7893Y17688D1*
+X7936Y17686D1*
+Y17604D1*
+X9313Y17606D1*
+X9435Y17584D1*
+X9545Y17519D1*
+X9496Y17555D1*
+X9591Y17470D1*
+X12302Y11076D2*
+X11513D1*
+X11456Y10931D1*
+X11438Y10752D1*
+X11386Y10635D1*
+X11322Y10553D1*
+X11297Y10529D1*
+X11298Y9740D1*
+X12123D1*
+X12126Y10421D1*
+X12185D1*
+X12183Y10750D1*
+X12198Y10874D1*
+X12232Y10967D1*
+X12296Y11068D1*
+X14115Y13545D2*
+X15460D1*
+Y13463D1*
+X16674Y13461D1*
+Y12161D1*
+X16584D1*
+X16587Y11638D1*
+X16591Y11067D1*
+X18556D1*
+X18559Y11519D1*
+X18458Y11721D1*
+X18476Y11673D1*
+X18388Y11728D1*
+X18267Y11754D1*
+X18154Y11809D1*
+X18058Y11888D1*
+X17984Y11988D1*
+X17935Y12103D1*
+X17914Y12226D1*
+X17923Y12350D1*
+X17961Y12469D1*
+X18034Y12584D1*
+X18033Y14387D1*
+X17163Y14390D1*
+X16351D1*
+Y14456D1*
+X15250Y14454D1*
+Y14825D1*
+X14468Y14828D1*
+X14345D1*
+X14339Y14915D1*
+X14241Y14989D1*
+X14115Y15115D1*
+X14042Y15213D1*
+X14000Y15216D1*
+X14065Y15110D1*
+X14105Y14991D1*
+X14118Y14873D1*
+Y13541D1*
+X29145Y13549D2*
+X30403D1*
+Y12975D1*
+X30598Y13169D1*
+X30597Y14438D1*
+X30616Y14561D1*
+X30675Y14675D1*
+X30804Y14813D1*
+X32912Y16920D1*
+X32910Y17708D1*
+X32665Y17705D1*
+X32669Y18927D1*
+X32653D1*
+Y17705D1*
+X32282D1*
+X30664Y16089D1*
+X30563Y16016D1*
+X30441Y15976D1*
+X30252Y15971D1*
+X26795D1*
+X26407Y15584D1*
+X26405Y14824D1*
+X27282Y14822D1*
+X27278Y15042D1*
+X27392D1*
+X27393Y15964D1*
+X28615D1*
+Y15038D1*
+X29184Y15042D1*
+X29445D1*
+Y13701D1*
+X29002Y13699D1*
+X29133Y13562D1*
+X29758Y12327D2*
+X29446D1*
+X29445Y12205D1*
+X28184Y12209D1*
+X27915Y12205D1*
+X27278D1*
+Y13092D1*
+X26752Y13095D1*
+X26654D1*
+X26714Y12943D1*
+X26719Y12750D1*
+Y11856D1*
+X26863Y11712D1*
+X26963Y11691D1*
+X27078Y11642D1*
+X27180Y11564D1*
+X27316Y11673D1*
+X27438Y11712D1*
+X27627Y11718D1*
+X28170D1*
+X28284Y11791D1*
+X28403Y11828D1*
+X28528Y11837D1*
+X28651Y11816D1*
+X28765Y11767D1*
+X28865Y11692D1*
+X28944Y11596D1*
+X28970Y11543D1*
+X29759Y12330D1*
+X24323Y11197D2*
+Y10717D1*
+X24646Y10718D1*
+X24724Y10795D1*
+X24722Y11082D1*
+X24644Y11160D1*
+X24502Y11158D1*
+X24375Y11179D1*
+X24333Y11195D1*
+X24323Y10990D1*
+Y10740D1*
+X13192Y10421D2*
+X13250D1*
+Y10050D1*
+X13636Y10049D1*
+X13633Y10439D1*
+Y11458D1*
+X13321Y11770D1*
+X13318Y10875D1*
+X13303Y10752D1*
+X13269Y10658D1*
+X13205Y10557D1*
+X13193Y10500D1*
+Y10418D1*
+X20346Y16870D2*
+X20347Y15530D1*
+X20281D1*
+X20278Y14764D1*
+X19445D1*
+X19444Y13831D1*
+X20226Y14611D1*
+X20327Y14684D1*
+X20449Y14724D1*
+X20637Y14729D1*
+X21085Y14732D1*
+X21089Y14935D1*
+X21085Y15132D1*
+Y15329D1*
+X21909D1*
+X21912Y16097D1*
+X21314Y16096D1*
+X21191Y16115D1*
+X21077Y16173D1*
+X20939Y16303D1*
+X20745Y16502D1*
+X20673Y16537D1*
+X20451D1*
+X20456Y18044D1*
+X20190Y18046D1*
+X20116Y17972D1*
+X20347D1*
+X20343Y16632D1*
+X22420Y19741D2*
+X22701D1*
+X22702Y20205D1*
+X22678Y20256D1*
+X22562Y20312D1*
+X22425D1*
+X22422Y19741D1*
+X15543Y10956D2*
+X15503Y10960D1*
+X14932Y10956D1*
+X14740D1*
+X14743Y10187D1*
+Y8982D1*
+X14854Y8869D1*
+X15051Y8868D1*
+X15050Y10229D1*
+X15507D1*
+X15510Y10608D1*
+X15526Y10735D1*
+X15540Y10858D1*
+Y10955D1*
+X17040Y10053D2*
+Y8866D1*
+X19231Y8868D1*
+X18706Y9393D1*
+X18630Y9491D1*
+X18580Y9605D1*
+X18559Y9750D1*
+Y10059D1*
+X17036Y10057D1*
+X8445Y21053D2*
+X8371Y21054D1*
+X8248Y21077D1*
+X8131Y21119D1*
+X8022Y21181D1*
+X7926Y21260D1*
+X7843Y21354D1*
+X7777Y21460D1*
+X7730Y21575D1*
+X7703Y21697D1*
+X7696Y21822D1*
+X7711Y21946D1*
+X7745Y22066D1*
+X7799Y22178D1*
+X7871Y22280D1*
+X7959Y22369D1*
+X8061Y22442D1*
+X8173Y22497D1*
+X8292Y22532D1*
+X8445Y22548D1*
+X9525Y22542D1*
+X9647Y22515D1*
+X9763Y22469D1*
+X9870Y22404D1*
+X9964Y22323D1*
+X10044Y22226D1*
+X10106Y22118D1*
+X10150Y22001D1*
+X10174Y21879D1*
+X10178Y21799D1*
+X10168Y21675D1*
+X10137Y21554D1*
+X10086Y21440D1*
+X10017Y21336D1*
+X9932Y21244D1*
+X9833Y21168D1*
+X9722Y21110D1*
+X9604Y21071D1*
+X9430Y21053D1*
+X8679Y21050D1*
+X8445Y21054D1*
+X6339Y21113D2*
+X6352Y21257D1*
+X6387Y21377D1*
+X6441Y21489D1*
+X6513Y21591D1*
+X6601Y21680D1*
+X6702Y21753D1*
+X6814Y21808D1*
+X6934Y21843D1*
+X7058Y21858D1*
+X7183Y21853D1*
+X7305Y21827D1*
+X7421Y21780D1*
+X7528Y21715D1*
+X7622Y21634D1*
+X7702Y21538D1*
+X7764Y21429D1*
+X7808Y21312D1*
+X7831Y21190D1*
+X7835Y20860D1*
+X7831Y20126D1*
+X7825Y20002D1*
+X7794Y19881D1*
+X7743Y19766D1*
+X7675Y19662D1*
+X7589Y19571D1*
+X7490Y19495D1*
+X7380Y19437D1*
+X7261Y19398D1*
+X7138Y19379D1*
+X7013Y19381D1*
+X6890Y19403D1*
+X6773Y19446D1*
+X6664Y19508D1*
+X6567Y19587D1*
+X6485Y19680D1*
+X6419Y19786D1*
+X6372Y19902D1*
+X6345Y20024D1*
+X6338Y20251D1*
+X6342Y21110D1*
+X31356Y20924D2*
+X31238Y20873D1*
+X31116Y20848D1*
+X30991Y20850D1*
+X30869Y20878D1*
+X30762Y20922D1*
+X30648Y20873D1*
+X30525Y20848D1*
+X30400Y20850D1*
+X30279Y20878D1*
+X30172Y20922D1*
+X30057Y20873D1*
+X29935Y20848D1*
+X29810Y20850D1*
+X29688Y20878D1*
+X29575Y20931D1*
+X29476Y21006D1*
+X29395Y21101D1*
+X29335Y21210D1*
+X29299Y21330D1*
+X29290Y21454D1*
+X29307Y21578D1*
+X29349Y21695D1*
+X29415Y21801D1*
+X29502Y21891D1*
+X29544Y21919D1*
+X29683Y22067D1*
+X30100Y22484D1*
+X30097Y23377D1*
+X30116Y23500D1*
+X30175Y23614D1*
+X30304Y23751D1*
+X30403Y23850D1*
+X30504Y23924D1*
+X30626Y23963D1*
+X30815Y23969D1*
+X31627D1*
+X31750Y23950D1*
+X31865Y23892D1*
+X32002Y23762D1*
+X32164Y23600D1*
+X32237Y23500D1*
+X32277Y23378D1*
+X32283Y23216D1*
+X33190Y23219D1*
+X33935D1*
+X34058Y23200D1*
+X34172Y23142D1*
+X34310Y23012D1*
+X35036Y22286D1*
+X35109Y22185D1*
+X35149Y22063D1*
+X35155Y21875D1*
+Y20417D1*
+X35895Y19677D1*
+X36403Y19675D1*
+X36399Y18453D1*
+X36403Y18052D1*
+Y17705D1*
+X36118D1*
+X36055Y17606D1*
+X32346Y13897D1*
+X32345Y12813D1*
+X32326Y12690D1*
+X32268Y12576D1*
+X32138Y12438D1*
+X31439Y11739D1*
+X31502Y11718D1*
+X32022D1*
+X33841Y13537D1*
+X33942Y13610D1*
+X34064Y13650D1*
+X34253Y13656D1*
+X36003D1*
+X36126Y13636D1*
+X36240Y13578D1*
+X36378Y13449D1*
+X36852Y12974D1*
+X36925Y12874D1*
+X36965Y12752D1*
+X36971Y12563D1*
+Y10938D1*
+X36951Y10815D1*
+X36893Y10701D1*
+X36763Y10563D1*
+X36429Y10229D1*
+X36355Y10069D1*
+X36279Y9970D1*
+X36186Y9886D1*
+X36079Y9822D1*
+X35962Y9778D1*
+X35839Y9757D1*
+X35715Y9759D1*
+X35657Y9770D1*
+X35670Y9637D1*
+X35657Y9509D1*
+X35754Y9519D1*
+X35879Y9514D1*
+X36001Y9485D1*
+X36115Y9434D1*
+X36217Y9363D1*
+X36305Y9275D1*
+X36375Y9171D1*
+X36424Y9056D1*
+X36452Y8935D1*
+X36457Y8850D1*
+X36445Y8726D1*
+X36411Y8606D1*
+X36343Y8479D1*
+X36342Y7659D1*
+X36328Y7535D1*
+X36287Y7417D1*
+X36217Y7309D1*
+X35833Y6920D1*
+X35735Y6842D1*
+X35623Y6788D1*
+X35497Y6761D1*
+X35108Y6757D1*
+X32411Y4061D1*
+X37378Y4062D1*
+Y24814D1*
+X29077Y24820D1*
+X29294Y24607D1*
+X29371Y24508D1*
+X29421Y24394D1*
+X29442Y24250D1*
+Y22687D1*
+X29427Y22563D1*
+X29381Y22447D1*
+X29294Y22330D1*
+X27732Y20767D1*
+X27633Y20691D1*
+X27519Y20641D1*
+X27375Y20619D1*
+X26832D1*
+X25857Y19642D1*
+X25758Y19566D1*
+X25644Y19516D1*
+X25500Y19494D1*
+X25214D1*
+X25212Y18578D1*
+X25177D1*
+X25174Y17848D1*
+X24967D1*
+X24969Y17376D1*
+X24950Y17253D1*
+X24892Y17139D1*
+X24762Y17001D1*
+X24699Y16938D1*
+Y16469D1*
+X24896Y16468D1*
+X26090Y17662D1*
+X26191Y17736D1*
+X26313Y17775D1*
+X26502Y17781D1*
+X28100D1*
+X28102Y18927D1*
+Y19675D1*
+X28317D1*
+X28335Y19812D1*
+X28374Y19904D1*
+X28444Y19996D1*
+X28701Y20253D1*
+X28716Y20397D1*
+X28758Y20514D1*
+X28825Y20620D1*
+X28911Y20710D1*
+X29015Y20779D1*
+X29131Y20825D1*
+X29254Y20846D1*
+X29379Y20841D1*
+X29499Y20809D1*
+X29586Y20765D1*
+X29721Y20825D1*
+X29845Y20846D1*
+X29969Y20841D1*
+X30090Y20809D1*
+X30177Y20765D1*
+X30312Y20825D1*
+X30435Y20846D1*
+X30560Y20841D1*
+X30680Y20809D1*
+X30767Y20765D1*
+X30903Y20825D1*
+X31026Y20846D1*
+X31150Y20841D1*
+X31271Y20809D1*
+X31358Y20765D1*
+X31493Y20825D1*
+X31616Y20846D1*
+X31741Y20841D1*
+X31862Y20809D1*
+X31949Y20765D1*
+X32084Y20825D1*
+X32207Y20846D1*
+X32331Y20841D1*
+X32452Y20809D1*
+X32539Y20765D1*
+X32674Y20825D1*
+X32797Y20846D1*
+X32922Y20841D1*
+X33043Y20809D1*
+X33154Y20753D1*
+X33251Y20675D1*
+X33330Y20578D1*
+X33349Y20539D1*
+X33348Y21146D1*
+X33310Y21087D1*
+X33226Y20995D1*
+X33124Y20922D1*
+X33010Y20873D1*
+X32887Y20848D1*
+X32763Y20850D1*
+X32641Y20878D1*
+X32534Y20922D1*
+X32419Y20873D1*
+X32297Y20848D1*
+X32172Y20850D1*
+X32050Y20878D1*
+X31943Y20922D1*
+X31829Y20873D1*
+X31706Y20848D1*
+X31582Y20850D1*
+X31460Y20878D1*
+X31358Y20926D1*
+X22652Y5318D2*
+Y5029D1*
+X26146Y5030D1*
+X26715Y5599D1*
+X26820Y5673D1*
+X26861Y5691D1*
+X26915Y5825D1*
+Y6407D1*
+X26849Y6434D1*
+X26757Y6505D1*
+X26554Y6701D1*
+X26413Y6546D1*
+X26280Y6435D1*
+X26174Y6391D1*
+X26064Y6377D1*
+X25748D1*
+X25739Y6296D1*
+X25788Y6181D1*
+X25816Y6059D1*
+X25821Y5975D1*
+X25809Y5850D1*
+X25775Y5730D1*
+X25719Y5619D1*
+X25643Y5520D1*
+X25550Y5436D1*
+X25443Y5372D1*
+X25326Y5328D1*
+X25203Y5307D1*
+X25079Y5308D1*
+X24956Y5333D1*
+X24841Y5381D1*
+X24759Y5434D1*
+X24656Y5372D1*
+X24539Y5328D1*
+X24416Y5307D1*
+X24291Y5308D1*
+X24169Y5333D1*
+X24053Y5381D1*
+X23972Y5434D1*
+X23869Y5372D1*
+X23752Y5328D1*
+X23629Y5307D1*
+X23504Y5308D1*
+X23382Y5333D1*
+X23266Y5381D1*
+X23184Y5434D1*
+X23081Y5372D1*
+X22964Y5328D1*
+X22841Y5307D1*
+X22716Y5308D1*
+X22651Y5322D1*
+X23197Y6526D2*
+X23302Y6586D1*
+X23420Y6626D1*
+X23544Y6644D1*
+X23668Y6638D1*
+X23790Y6610D1*
+X23904Y6559D1*
+X23965Y6517D1*
+X24089Y6586D1*
+X24208Y6626D1*
+X24331Y6644D1*
+X24456Y6638D1*
+X24577Y6610D1*
+X24720Y6539D1*
+X24819Y6682D1*
+X25257Y7120D1*
+X25356Y7195D1*
+X25449Y7232D1*
+X25564Y7247D1*
+X25724D1*
+X25683Y7352D1*
+X25663Y7475D1*
+X25667Y7534D1*
+X23170Y7532D1*
+X23193Y7423D1*
+X23194Y6521D1*
+X30242Y5873D2*
+X30376D1*
+Y4682D1*
+X31458Y4680D1*
+X34485Y7705D1*
+X34583Y7783D1*
+X34695Y7837D1*
+X34821Y7864D1*
+X35210Y7867D1*
+X35233Y7890D1*
+X35232Y8225D1*
+X35175Y8203D1*
+X35052Y8182D1*
+X34927Y8184D1*
+X34805Y8209D1*
+X34689Y8256D1*
+X34585Y8324D1*
+X34494Y8410D1*
+X34451Y8470D1*
+X33354Y8469D1*
+X32539Y7651D1*
+X32438Y7578D1*
+X32316Y7538D1*
+X32127Y7532D1*
+X27335D1*
+X27339Y7500D1*
+X27325Y7376D1*
+X27275Y7250D1*
+X27438Y7230D1*
+X27529Y7191D1*
+X27622Y7120D1*
+X27873Y6869D1*
+X27935Y6791D1*
+X27985Y6673D1*
+X28216Y6672D1*
+Y6567D1*
+X28268Y6599D1*
+Y6900D1*
+X29490Y6896D1*
+X29891Y6900D1*
+X30238D1*
+Y5873D1*
+X28878Y4677D2*
+Y5560D1*
+X28219Y5556D1*
+X28216Y5174D1*
+Y4702D1*
+X26964D1*
+X27064Y4680D1*
+X28880D1*
+X34334Y9591D2*
+X34330Y9657D1*
+X34345Y9781D1*
+X34383Y9900D1*
+X34460Y10031D1*
+X34171Y10032D1*
+X33725Y9590D1*
+X34334Y9592D1*
+X22099Y18594D2*
+Y18812D1*
+X21870Y18816D1*
+X21796Y18749D1*
+Y18596D1*
+X22099Y18593D1*
+X29969Y17705D2*
+X29844D1*
+X29417Y17281D1*
+X29771D1*
+X29971Y17480D1*
+X29972Y17708D1*
+X29844Y17705D1*
+X14625Y17920D2*
+X15624D1*
+Y17549D1*
+X16324Y17546D1*
+X16326Y17712D1*
+X17181D1*
+X17182Y17937D1*
+X17197Y18061D1*
+X17243Y18177D1*
+X17330Y18294D1*
+X17378Y18342D1*
+X17301Y18414D1*
+X17223Y18512D1*
+X17159Y18619D1*
+X17112Y18735D1*
+X17081Y18856D1*
+X17067Y18980D1*
+X17071Y19105D1*
+X17092Y19228D1*
+X17130Y19347D1*
+X17185Y19459D1*
+X17255Y19562D1*
+X17339Y19655D1*
+X17435Y19735D1*
+X17541Y19801D1*
+X17656Y19851D1*
+X17776Y19884D1*
+X17900Y19900D1*
+X18060Y19894D1*
+X18051Y19964D1*
+X18055Y20089D1*
+X18076Y20212D1*
+X18115Y20331D1*
+X18169Y20443D1*
+X18239Y20547D1*
+X18323Y20639D1*
+X18419Y20719D1*
+X18526Y20785D1*
+X18640Y20835D1*
+X18760Y20868D1*
+X18884Y20885D1*
+X19009Y20883D1*
+X19133Y20864D1*
+X19252Y20828D1*
+X19365Y20776D1*
+X19470Y20708D1*
+X19564Y20626D1*
+X19646Y20531D1*
+X19714Y20427D1*
+X19766Y20313D1*
+X19802Y20193D1*
+X19824Y20000D1*
+X19815Y19875D1*
+X19789Y19753D1*
+X19745Y19636D1*
+X19686Y19526D1*
+X19612Y19425D1*
+X19525Y19336D1*
+X19426Y19260D1*
+X19317Y19198D1*
+X19201Y19153D1*
+X19079Y19124D1*
+X18955Y19113D1*
+X18834Y19119D1*
+X18839Y19015D1*
+X18831Y18891D1*
+X18804Y18769D1*
+X18761Y18651D1*
+X18702Y18541D1*
+X18628Y18441D1*
+X18541Y18352D1*
+X18457Y18287D1*
+X18458Y18203D1*
+X18442Y18079D1*
+X18397Y17963D1*
+X18310Y17846D1*
+X18191Y17727D1*
+X18199Y17712D1*
+X18296D1*
+Y16412D1*
+X17074Y16416D1*
+X16673Y16412D1*
+X16326D1*
+Y16580D1*
+X15406D1*
+Y16951D1*
+X14893Y16954D1*
+X14892Y15792D1*
+X15468Y15794D1*
+Y15423D1*
+X15918Y15420D1*
+X15921Y15626D1*
+X15938Y15749D1*
+X15975Y15841D1*
+X16054Y15948D1*
+X16179Y16073D1*
+X16276Y16146D1*
+X16330Y16172D1*
+X16351Y16263D1*
+Y16360D1*
+X17651Y16356D1*
+X17913Y16360D1*
+X18434D1*
+Y17302D1*
+X18449Y17426D1*
+X18494Y17542D1*
+X18566Y17644D1*
+X18661Y17724D1*
+X18773Y17779D1*
+X18899Y17805D1*
+X18928Y17808D1*
+Y17972D1*
+X19174D1*
+X19171Y18126D1*
+X19188Y18249D1*
+X19240Y18367D1*
+X19304Y18448D1*
+X19679Y18823D1*
+X19779Y18898D1*
+X19899Y18944D1*
+X20001Y18956D1*
+X20833D1*
+X20830Y19012D1*
+X20674Y19163D1*
+X20452D1*
+Y20315D1*
+X20250Y20312D1*
+X20144Y20373D1*
+X20125Y20437D1*
+X20126Y23121D1*
+X20114Y23063D1*
+X20069Y22947D1*
+X19982Y22830D1*
+X19734Y22582D1*
+X19632Y22504D1*
+X19686Y22540D1*
+X19645Y22396D1*
+X19702Y22284D1*
+X19741Y22166D1*
+X19765Y21968D1*
+X19755Y21843D1*
+X19727Y21722D1*
+X19681Y21606D1*
+X19618Y21498D1*
+X19540Y21401D1*
+X19447Y21317D1*
+X19343Y21247D1*
+X19230Y21194D1*
+X19111Y21159D1*
+X18987Y21142D1*
+X18862Y21144D1*
+X18739Y21165D1*
+X18620Y21204D1*
+X18509Y21260D1*
+X18407Y21332D1*
+X18317Y21419D1*
+X18242Y21519D1*
+X18182Y21629D1*
+X18140Y21746D1*
+X18115Y21869D1*
+X18110Y21993D1*
+X18123Y22117D1*
+X18155Y22238D1*
+X18204Y22353D1*
+X18252Y22434D1*
+X13716D1*
+X13796Y22284D1*
+X13835Y22166D1*
+X13859Y21968D1*
+X13850Y21843D1*
+X13822Y21722D1*
+X13775Y21606D1*
+X13713Y21498D1*
+X13634Y21401D1*
+X13542Y21317D1*
+X13438Y21247D1*
+X13325Y21194D1*
+X13205Y21159D1*
+X13081Y21142D1*
+X12956Y21144D1*
+X12833Y21165D1*
+X12715Y21204D1*
+X12603Y21260D1*
+X12502Y21332D1*
+X12412Y21419D1*
+X12336Y21519D1*
+X12277Y21629D1*
+X12234Y21746D1*
+X12210Y21869D1*
+X12204Y21993D1*
+X12211Y22059D1*
+X11945Y21795D1*
+X11943Y20501D1*
+Y15958D1*
+X12455Y15448D1*
+X12451Y16412D1*
+Y17712D1*
+X13306D1*
+X13305Y18060D1*
+X13320Y18184D1*
+X13368Y18303D1*
+X13399Y18353D1*
+X13364Y18414D1*
+X13286Y18512D1*
+X13222Y18619D1*
+X13175Y18735D1*
+X13144Y18856D1*
+X13130Y18980D1*
+X13136Y19116D1*
+X12924Y19119D1*
+X12802Y19143D1*
+X12684Y19184D1*
+X12573Y19241D1*
+X12471Y19313D1*
+X12380Y19399D1*
+X12302Y19496D1*
+X12238Y19604D1*
+X12190Y19719D1*
+X12159Y19840D1*
+X12146Y19964D1*
+X12149Y20089D1*
+X12171Y20212D1*
+X12209Y20331D1*
+X12264Y20443D1*
+X12334Y20547D1*
+X12418Y20639D1*
+X12514Y20719D1*
+X12620Y20785D1*
+X12734Y20835D1*
+X12855Y20868D1*
+X12979Y20885D1*
+X13104Y20883D1*
+X13227Y20864D1*
+X13347Y20828D1*
+X13460Y20776D1*
+X13565Y20708D1*
+X13659Y20626D1*
+X13741Y20531D1*
+X13808Y20427D1*
+X13861Y20313D1*
+X13897Y20193D1*
+X13918Y20000D1*
+X13911Y19894D1*
+X14088Y19899D1*
+X14211Y19880D1*
+X14331Y19844D1*
+X14444Y19791D1*
+X14549Y19724D1*
+X14643Y19642D1*
+X14725Y19547D1*
+X14793Y19442D1*
+X14845Y19329D1*
+X14881Y19209D1*
+X14902Y19015D1*
+X14894Y18891D1*
+X14867Y18769D1*
+X14824Y18651D1*
+X14765Y18541D1*
+X14691Y18441D1*
+X14604Y18352D1*
+X14520Y18287D1*
+X14505Y18142D1*
+X14460Y18026D1*
+X14373Y17909D1*
+X14315Y17851D1*
+X14333Y17830D1*
+X14445Y17876D1*
+X14391Y17858D1*
+X14429Y17975D1*
+X14373Y17909D1*
+X14500Y17889D1*
+Y17920D1*
+X14625D1*
+X24392Y14737D2*
+Y14816D1*
+X23880Y14820D1*
+X23618Y14816D1*
+X23527Y14819D1*
+X23027Y14816D1*
+X22937Y14819D1*
+X22425Y14816D1*
+X22421Y14304D1*
+X22425Y14042D1*
+X22422Y13951D1*
+X22425Y13451D1*
+X22422Y13360D1*
+X22425Y12849D1*
+X22937Y12845D1*
+X23021Y12849D1*
+X23724Y12845D1*
+X23986Y12849D1*
+X24077Y12846D1*
+X24327Y12849D1*
+X24392Y12926D1*
+Y13638D1*
+X24394Y14816D2*
+X24077Y14820D1*
+X23815Y14816D1*
+X23724Y14819D1*
+X23224Y14816D1*
+X23134Y14819D1*
+X22634Y14816D1*
+X22425Y14817D1*
+X22421Y14501D1*
+X22425Y14417D1*
+X22422Y14345D1*
+X22425Y13845D1*
+X22422Y13754D1*
+X22425Y13254D1*
+X22422Y13164D1*
+X22425Y12847D1*
+X23093Y12846D1*
+X23343Y12849D1*
+X23880Y12846D1*
+X24392Y12849D1*
+X24396Y13164D1*
+X24392Y13360D1*
+Y13557D1*
+Y13636D1*
+X24311Y13633D1*
+X24187Y13648D1*
+X24070Y13690D1*
+X23965Y13757D1*
+X23877Y13846D1*
+X23812Y13952D1*
+X23772Y14070D1*
+X23759Y14194D1*
+X23774Y14318D1*
+X23817Y14435D1*
+X23885Y14540D1*
+X23974Y14627D1*
+X24081Y14692D1*
+X24199Y14731D1*
+X24323Y14743D1*
+X24396Y14738D1*
+X24392Y14817D1*
+X23683Y14816D1*
+X23486D1*
+X23290D1*
+X23093D1*
+X22896D1*
+X22699D1*
+X22502D1*
+X22427D1*
+X22425Y14107D1*
+Y13910D1*
+Y13713D1*
+Y13516D1*
+Y13320D1*
+Y13123D1*
+Y12926D1*
+Y12850D1*
+X23134Y12849D1*
+X23330D1*
+X23921D1*
+X24118D1*
+X24315D1*
+X24390D1*
+X24392Y13354D1*
+Y13570D1*
+X24315Y14819D2*
+X24065Y14816D1*
+X23868D1*
+X23330Y14819D1*
+X22830Y14816D1*
+X22740Y14819D1*
+X22424Y14816D1*
+X22422Y14148D1*
+X22425Y13648D1*
+X22422Y13557D1*
+X22425Y13057D1*
+X22423Y12849D1*
+X22740Y12845D1*
+X23808Y12849D2*
+X24392D1*
+Y13157D1*
+X6289Y23437D2*
+X6266Y23314D1*
+X6227Y23196D1*
+X6173Y23083D1*
+X6105Y22978D1*
+X6025Y22882D1*
+X5933Y22797D1*
+X5832Y22725D1*
+X5722Y22666D1*
+X5605Y22621D1*
+X5484Y22592D1*
+X5359Y22578D1*
+X5235Y22580D1*
+X5111Y22598D1*
+X4990Y22631D1*
+X4875Y22679D1*
+X4767Y22741D1*
+X4668Y22817D1*
+X4578Y22905D1*
+X4501Y23003D1*
+X4437Y23110D1*
+X4387Y23224D1*
+X4352Y23344D1*
+X4332Y23467D1*
+X4328Y23592D1*
+X4339Y23717D1*
+X4367Y23839D1*
+X4410Y23956D1*
+X4467Y24067D1*
+X4537Y24170D1*
+X4621Y24263D1*
+X4715Y24345D1*
+X4819Y24414D1*
+X4931Y24470D1*
+X5049Y24511D1*
+X5171Y24537D1*
+X5295Y24547D1*
+X5420Y24541D1*
+X5543Y24519D1*
+X5663Y24482D1*
+X5776Y24431D1*
+X5883Y24365D1*
+X5980Y24286D1*
+X6066Y24196D1*
+X6140Y24095D1*
+X6201Y23986D1*
+X6248Y23870D1*
+X6279Y23750D1*
+X6297Y23562D1*
+X6289Y23437D1*
+Y5188D2*
+X6266Y5065D1*
+X6227Y4946D1*
+X6173Y4833D1*
+X6105Y4728D1*
+X6025Y4633D1*
+X5933Y4548D1*
+X5832Y4475D1*
+X5722Y4416D1*
+X5605Y4372D1*
+X5484Y4342D1*
+X5359Y4328D1*
+X5235Y4330D1*
+X5111Y4348D1*
+X4990Y4381D1*
+X4875Y4429D1*
+X4767Y4492D1*
+X4668Y4567D1*
+X4578Y4655D1*
+X4501Y4753D1*
+X4437Y4860D1*
+X4387Y4975D1*
+X4352Y5095D1*
+X4332Y5218D1*
+X4328Y5343D1*
+X4339Y5467D1*
+X4367Y5589D1*
+X4410Y5706D1*
+X4467Y5817D1*
+X4537Y5920D1*
+X4621Y6014D1*
+X4715Y6095D1*
+X4819Y6165D1*
+X4931Y6220D1*
+X5049Y6261D1*
+X5171Y6287D1*
+X5295Y6297D1*
+X5420Y6291D1*
+X5543Y6270D1*
+X5663Y6233D1*
+X5776Y6181D1*
+X5883Y6115D1*
+X5980Y6037D1*
+X6066Y5946D1*
+X6140Y5846D1*
+X6201Y5737D1*
+X6248Y5621D1*
+X6279Y5500D1*
+X6297Y5312D1*
+X6289Y5188D1*
+X37164Y23437D2*
+X37140Y23314D1*
+X37101Y23196D1*
+X37048Y23083D1*
+X36980Y22978D1*
+X36900Y22882D1*
+X36808Y22797D1*
+X36707Y22725D1*
+X36596Y22666D1*
+X36480Y22621D1*
+X36358Y22592D1*
+X36234Y22578D1*
+X36109Y22580D1*
+X35986Y22598D1*
+X35865Y22631D1*
+X35750Y22679D1*
+X35642Y22741D1*
+X35542Y22817D1*
+X35453Y22905D1*
+X35376Y23003D1*
+X35312Y23110D1*
+X35262Y23224D1*
+X35226Y23344D1*
+X35207Y23467D1*
+X35202Y23592D1*
+X35214Y23717D1*
+X35242Y23839D1*
+X35284Y23956D1*
+X35341Y24067D1*
+X35412Y24170D1*
+X35495Y24263D1*
+X35590Y24345D1*
+X35694Y24414D1*
+X35806Y24470D1*
+X35924Y24511D1*
+X36046Y24537D1*
+X36170Y24547D1*
+X36295Y24541D1*
+X36418Y24519D1*
+X36537Y24482D1*
+X36651Y24431D1*
+X36757Y24365D1*
+X36854Y24286D1*
+X36941Y24196D1*
+X37015Y24095D1*
+X37076Y23986D1*
+X37122Y23870D1*
+X37154Y23750D1*
+X37172Y23562D1*
+X37164Y23437D1*
+Y5188D2*
+X37140Y5065D1*
+X37101Y4946D1*
+X37048Y4833D1*
+X36980Y4728D1*
+X36900Y4633D1*
+X36808Y4548D1*
+X36707Y4475D1*
+X36596Y4416D1*
+X36480Y4372D1*
+X36358Y4342D1*
+X36234Y4328D1*
+X36109Y4330D1*
+X35986Y4348D1*
+X35865Y4381D1*
+X35750Y4429D1*
+X35642Y4492D1*
+X35542Y4567D1*
+X35453Y4655D1*
+X35376Y4753D1*
+X35312Y4860D1*
+X35262Y4975D1*
+X35226Y5095D1*
+X35207Y5218D1*
+X35202Y5343D1*
+X35214Y5467D1*
+X35242Y5589D1*
+X35284Y5706D1*
+X35341Y5817D1*
+X35412Y5920D1*
+X35495Y6014D1*
+X35590Y6095D1*
+X35694Y6165D1*
+X35806Y6220D1*
+X35924Y6261D1*
+X36046Y6287D1*
+X36170Y6297D1*
+X36295Y6291D1*
+X36418Y6270D1*
+X36537Y6233D1*
+X36651Y6181D1*
+X36757Y6115D1*
+X36854Y6037D1*
+X36941Y5946D1*
+X37015Y5846D1*
+X37076Y5737D1*
+X37122Y5621D1*
+X37154Y5500D1*
+X37172Y5312D1*
+X37164Y5188D1*
+X31272Y9593D2*
+X32151Y10471D1*
+X31022Y10470D1*
+X30885Y10388D1*
+X30764Y10355D1*
+X30640Y10353D1*
+X30518Y10379D1*
+X30406Y10434D1*
+X30361Y10471D1*
+X30281Y10260D1*
+X30211Y10156D1*
+X30119Y10072D1*
+X30010Y10013D1*
+X29889Y9980D1*
+X29765Y9978D1*
+X29643Y10004D1*
+X29531Y10059D1*
+X29450Y10126D1*
+X28917Y9592D1*
+X31271Y9593D1*
+X28230Y24244D2*
+X26660D1*
+X26663Y23699D1*
+X26689Y21632D1*
+X27167Y21630D1*
+X28434Y22899D1*
+X28432Y24042D1*
+X28229Y24243D1*
+D31*
+X9063Y16813D3*
+D32*
+X10047D3*
+D33*
+X6625Y13188D3*
+X7727D3*
+D34*
+X11687Y11687D3*
+Y10939D3*
+D35*
+X8187Y9000D3*
+Y10102D3*
+X14751Y12875D3*
+Y13978D3*
+D36*
+X16063Y12811D3*
+X16811D3*
+D34*
+X29752Y12938D3*
+Y13686D3*
+D36*
+X28752Y15313D3*
+X28004D3*
+D33*
+X26937Y22687D3*
+X25835D3*
+D37*
+X23752Y10688D3*
+X24421D3*
+X23752Y11188D3*
+X24421D3*
+D38*
+X27002Y14251D3*
+Y14920D3*
+X26437Y14250D3*
+Y14919D3*
+D39*
+X5375Y16813D3*
+D40*
+Y21164D3*
+D42*
+X12688Y9938D3*
+Y9190D3*
+X13593Y9564D3*
+D35*
+X19638Y16200D3*
+Y17302D3*
+D43*
+X22750Y19937D3*
+D44*
+Y19268D3*
+X23655D3*
+Y20016D3*
+D46*
+X10437Y11187D3*
+Y11687D3*
+Y12187D3*
+Y12687D3*
+X8350D3*
+Y12187D3*
+Y11687D3*
+Y11187D3*
+D47*
+X9394Y11935D3*
+D48*
+X16951Y11734D3*
+X16045D3*
+X15140D3*
+D49*
+X16045Y9451D3*
+D50*
+X8937Y19437D3*
+D51*
+Y21799D3*
+D52*
+X7087Y20618D3*
+D53*
+X18937Y20000D3*
+X17953Y19015D3*
+X13031Y20000D3*
+X14016Y19015D3*
+X15984Y20000D3*
+X16969Y23937D3*
+X15000D3*
+X17953D3*
+X14016D3*
+D54*
+X23687Y21625D3*
+X23372D3*
+X23057D3*
+X22742D3*
+X22427D3*
+D55*
+X24809D3*
+X21305D3*
+D56*
+X24809Y23794D3*
+X21305D3*
+D58*
+X31062Y21437D3*
+Y20256D3*
+X30471Y21437D3*
+X29881D3*
+X30767Y20846D3*
+X30176D3*
+X29586D3*
+X29290Y20256D3*
+X29881D3*
+X30471D3*
+X31653D3*
+X32243D3*
+X32834D3*
+Y21437D3*
+X32243D3*
+X31653D3*
+X31357Y20846D3*
+X31948D3*
+X32538D3*
+D59*
+X34251Y23524D3*
+X28070D3*
+D60*
+X22001Y5187D3*
+D61*
+Y5975D3*
+X22789Y5187D3*
+Y5975D3*
+X23576D3*
+Y5187D3*
+X24364D3*
+Y5975D3*
+X25151Y5187D3*
+Y5975D3*
+D62*
+X29627Y5125D3*
+D63*
+X28627D3*
+D60*
+X35000Y12000D3*
+D61*
+X35787D3*
+X35000Y11212D3*
+X35787D3*
+Y10425D3*
+X35000D3*
+Y9637D3*
+X35787D3*
+X35000Y8850D3*
+X35787D3*
+D64*
+X6125Y11437D3*
+Y8917D3*
+D34*
+X9563Y9188D3*
+Y9936D3*
+D36*
+X10687Y9937D3*
+X11435D3*
+D34*
+X19627Y15375D3*
+Y14627D3*
+X17813Y15001D3*
+Y15749D3*
+X17001Y15001D3*
+Y15749D3*
+D36*
+X13812Y15937D3*
+X13064D3*
+X13062Y17062D3*
+X13810D3*
+X16937D3*
+X17685D3*
+X21251Y7000D3*
+X21999D3*
+D34*
+X22750Y17687D3*
+Y18435D3*
+X23687Y17687D3*
+Y18435D3*
+D36*
+X21811Y19813D3*
+X21063D3*
+X21062Y17187D3*
+X21810D3*
+D33*
+X26937Y23625D3*
+X25835D3*
+D34*
+X24562Y19937D3*
+Y19189D3*
+D36*
+X25311Y18499D3*
+X24563D3*
+X29627Y6250D3*
+X28879D3*
+D34*
+X27566Y5313D3*
+Y6061D3*
+X30377Y19064D3*
+Y18316D3*
+X31190Y19064D3*
+Y18316D3*
+X35753Y19064D3*
+Y18316D3*
+X34940Y19064D3*
+Y18316D3*
+X28752Y19064D3*
+Y18316D3*
+X29565Y19064D3*
+Y18316D3*
+X34128Y19064D3*
+Y18316D3*
+X33315Y19064D3*
+Y18316D3*
+X32002Y19064D3*
+Y18316D3*
+D62*
+X7187Y16937D3*
+D63*
+Y17937D3*
+D42*
+X15812Y14937D3*
+Y15685D3*
+X14906Y15311D3*
+X15062Y17437D3*
+Y16689D3*
+X15968Y17063D3*
+D65*
+X20939Y18031D3*
+X21687D3*
+X21313Y18937D3*
+D67*
+X25062Y12750D3*
+Y12946D3*
+Y13143D3*
+Y13340D3*
+Y13537D3*
+Y13734D3*
+Y13931D3*
+Y14127D3*
+Y14324D3*
+Y14521D3*
+Y14718D3*
+Y14915D3*
+D68*
+X24491Y15486D3*
+X24294D3*
+X24097D3*
+X23901D3*
+X23704D3*
+X23507D3*
+X23310D3*
+X23113D3*
+X22916D3*
+X22719D3*
+X22523D3*
+X22326D3*
+D67*
+X21755Y14915D3*
+Y14718D3*
+Y14521D3*
+Y14324D3*
+Y14127D3*
+Y13931D3*
+Y13734D3*
+Y13537D3*
+Y13340D3*
+Y13143D3*
+Y12946D3*
+Y12750D3*
+D68*
+X22326Y12179D3*
+X22523D3*
+X22719D3*
+X22916D3*
+X23113D3*
+X23310D3*
+X23507D3*
+X23704D3*
+X23901D3*
+X24097D3*
+X24294D3*
+X24491D3*
+D69*
+X28815Y12875D3*
+Y14372D3*
+X27909D3*
+Y12875D3*
+M02*
diff --git a/gerbonara/tests/resources/diptrace/mainboard_TopMask.gbr b/gerbonara/tests/resources/diptrace/mainboard_TopMask.gbr
new file mode 100644
index 0000000..cd94887
--- /dev/null
+++ b/gerbonara/tests/resources/diptrace/mainboard_TopMask.gbr
@@ -0,0 +1,353 @@
+G04 DipTrace 2.4.0.2*
+%INmainboard_TopMask.gbr*%
+%MOIN*%
+%ADD33R,0.063X0.0709*%
+%ADD72C,0.0945*%
+%ADD73C,0.035*%
+%ADD74C,0.0669*%
+%ADD75C,0.126*%
+%ADD78C,0.0551*%
+%ADD85R,0.0197X0.0709*%
+%ADD87R,0.0709X0.0197*%
+%ADD89R,0.0335X0.0492*%
+%ADD91R,0.0945X0.0709*%
+%ADD93C,0.0866*%
+%ADD95R,0.0866X0.0866*%
+%ADD97C,0.0709*%
+%ADD98R,0.0669X0.0669*%
+%ADD100C,0.0866*%
+%ADD101R,0.0866X0.1063*%
+%ADD103R,0.0866X0.1378*%
+%ADD105R,0.0276X0.1378*%
+%ADD107C,0.1142*%
+%ADD109O,0.0866X0.185*%
+%ADD111O,0.185X0.0866*%
+%ADD113O,0.2047X0.0866*%
+%ADD115R,0.1358X0.0925*%
+%ADD117R,0.0453X0.0925*%
+%ADD119R,0.1102X0.1457*%
+%ADD121R,0.0433X0.028*%
+%ADD123R,0.0472X0.0315*%
+%ADD125R,0.0472X0.0472*%
+%ADD127R,0.0492X0.0335*%
+%ADD129C,0.1024*%
+%ADD130R,0.1024X0.1024*%
+%ADD131R,0.0472X0.0512*%
+%ADD133R,0.0512X0.0472*%
+%ADD135R,0.0591X0.0669*%
+%ADD137R,0.0787X0.0709*%
+%ADD139R,0.0669X0.0591*%
+%ADD141R,0.0709X0.0787*%
+%ADD142C,0.061*%
+%ADD144R,0.061X0.061*%
+%FSLAX44Y44*%
+G04*
+G70*
+G90*
+G75*
+G01*
+%LNTopMask*%
+%LPD*%
+D144*
+X9063Y16813D3*
+D142*
+X10047D3*
+D141*
+X6625Y13188D3*
+X7727D3*
+D139*
+X11687Y11687D3*
+Y10939D3*
+D137*
+X8187Y9000D3*
+Y10102D3*
+X14751Y12875D3*
+Y13978D3*
+D135*
+X16063Y12811D3*
+X16811D3*
+D139*
+X29752Y12938D3*
+Y13686D3*
+D135*
+X28752Y15313D3*
+X28004D3*
+D141*
+X26937Y22687D3*
+X25835D3*
+D133*
+X23752Y10688D3*
+X24421D3*
+X23752Y11188D3*
+X24421D3*
+D131*
+X27002Y14251D3*
+Y14920D3*
+X26437Y14250D3*
+Y14919D3*
+D130*
+X5375Y16813D3*
+D129*
+Y21164D3*
+D127*
+X12688Y9938D3*
+Y9190D3*
+X13593Y9564D3*
+D137*
+X19638Y16200D3*
+Y17302D3*
+D125*
+X22750Y19937D3*
+D123*
+Y19268D3*
+X23655D3*
+Y20016D3*
+D121*
+X10437Y11187D3*
+Y11687D3*
+Y12187D3*
+Y12687D3*
+X8350D3*
+Y12187D3*
+Y11687D3*
+Y11187D3*
+D119*
+X9394Y11935D3*
+D117*
+X16951Y11734D3*
+X16045D3*
+X15140D3*
+D115*
+X16045Y9451D3*
+D113*
+X8937Y19437D3*
+D111*
+Y21799D3*
+D109*
+X7087Y20618D3*
+D107*
+X18937Y20000D3*
+X17953Y19015D3*
+X13031Y20000D3*
+X14016Y19015D3*
+X15984Y20000D3*
+X16969Y23937D3*
+X15000D3*
+X17953D3*
+X14016D3*
+D72*
+X18937Y21968D3*
+X13031D3*
+D105*
+X23687Y21625D3*
+X23372D3*
+X23057D3*
+X22742D3*
+X22427D3*
+D103*
+X24809D3*
+X21305D3*
+D101*
+X24809Y23794D3*
+X21305D3*
+D73*
+X23923Y22632D3*
+X22191D3*
+D78*
+X31062Y21437D3*
+Y20256D3*
+X30471Y21437D3*
+X29881D3*
+X30767Y20846D3*
+X30176D3*
+X29586D3*
+X29290Y20256D3*
+X29881D3*
+X30471D3*
+X31653D3*
+X32243D3*
+X32834D3*
+Y21437D3*
+X32243D3*
+X31653D3*
+X31357Y20846D3*
+X31948D3*
+X32538D3*
+D100*
+X34251Y23524D3*
+X28070D3*
+D74*
+X31160Y22815D3*
+D98*
+X22001Y5187D3*
+D97*
+Y5975D3*
+X22789Y5187D3*
+Y5975D3*
+X23576D3*
+Y5187D3*
+X24364D3*
+Y5975D3*
+X25151Y5187D3*
+Y5975D3*
+D95*
+X29627Y5125D3*
+D93*
+X28627D3*
+D98*
+X35000Y12000D3*
+D97*
+X35787D3*
+X35000Y11212D3*
+X35787D3*
+Y10425D3*
+X35000D3*
+Y9637D3*
+X35787D3*
+X35000Y8850D3*
+X35787D3*
+D91*
+X6125Y11437D3*
+Y8917D3*
+D139*
+X9563Y9188D3*
+Y9936D3*
+D135*
+X10687Y9937D3*
+X11435D3*
+D139*
+X19627Y15375D3*
+Y14627D3*
+X17813Y15001D3*
+Y15749D3*
+X17001Y15001D3*
+Y15749D3*
+D135*
+X13812Y15937D3*
+X13064D3*
+X13062Y17062D3*
+X13810D3*
+X16937D3*
+X17685D3*
+X21251Y7000D3*
+X21999D3*
+D139*
+X22750Y17687D3*
+Y18435D3*
+X23687Y17687D3*
+Y18435D3*
+D135*
+X21811Y19813D3*
+X21063D3*
+X21062Y17187D3*
+X21810D3*
+D141*
+X26937Y23625D3*
+X25835D3*
+D139*
+X24562Y19937D3*
+Y19189D3*
+D135*
+X25311Y18499D3*
+X24563D3*
+X29627Y6250D3*
+X28879D3*
+D139*
+X27566Y5313D3*
+Y6061D3*
+X30377Y19064D3*
+Y18316D3*
+X31190Y19064D3*
+Y18316D3*
+X35753Y19064D3*
+Y18316D3*
+X34940Y19064D3*
+Y18316D3*
+X28752Y19064D3*
+Y18316D3*
+X29565Y19064D3*
+Y18316D3*
+X34128Y19064D3*
+Y18316D3*
+X33315Y19064D3*
+Y18316D3*
+X32002Y19064D3*
+Y18316D3*
+D95*
+X7187Y16937D3*
+D93*
+Y17937D3*
+D127*
+X15812Y14937D3*
+Y15685D3*
+X14906Y15311D3*
+X15062Y17437D3*
+Y16689D3*
+X15968Y17063D3*
+D89*
+X20939Y18031D3*
+X21687D3*
+X21313Y18937D3*
+D87*
+X25062Y12750D3*
+Y12946D3*
+Y13143D3*
+Y13340D3*
+Y13537D3*
+Y13734D3*
+Y13931D3*
+Y14127D3*
+Y14324D3*
+Y14521D3*
+Y14718D3*
+Y14915D3*
+D85*
+X24491Y15486D3*
+X24294D3*
+X24097D3*
+X23901D3*
+X23704D3*
+X23507D3*
+X23310D3*
+X23113D3*
+X22916D3*
+X22719D3*
+X22523D3*
+X22326D3*
+D87*
+X21755Y14915D3*
+Y14718D3*
+Y14521D3*
+Y14324D3*
+Y14127D3*
+Y13931D3*
+Y13734D3*
+Y13537D3*
+Y13340D3*
+Y13143D3*
+Y12946D3*
+Y12750D3*
+D85*
+X22326Y12179D3*
+X22523D3*
+X22719D3*
+X22916D3*
+X23113D3*
+X23310D3*
+X23507D3*
+X23704D3*
+X23901D3*
+X24097D3*
+X24294D3*
+X24491D3*
+D33*
+X28815Y12875D3*
+Y14372D3*
+X27909D3*
+Y12875D3*
+D75*
+X5312Y23562D3*
+Y5312D3*
+X36187Y23562D3*
+Y5312D3*
+M02*
diff --git a/gerbonara/tests/resources/diptrace/mainboard_TopSilk.gbr b/gerbonara/tests/resources/diptrace/mainboard_TopSilk.gbr
new file mode 100644
index 0000000..7086a8a
--- /dev/null
+++ b/gerbonara/tests/resources/diptrace/mainboard_TopSilk.gbr
@@ -0,0 +1,2400 @@
+G04 DipTrace 2.4.0.2*
+%INmainboard_TopSilk.gbr*%
+%MOIN*%
+%ADD10C,0.0098*%
+%ADD29C,0.0236*%
+%ADD41C,0.0154*%
+%ADD45C,0.0213*%
+%ADD57C,0.0079*%
+%ADD66C,0.0158*%
+%ADD146C,0.0062*%
+%ADD147C,0.0124*%
+%FSLAX44Y44*%
+G04*
+G70*
+G90*
+G75*
+G01*
+%LNTopSilk*%
+%LPD*%
+X10795Y16813D2*
+D10*
+G02X10795Y16813I-1240J0D01*
+G01*
+X8570Y16695D2*
+Y16931D1*
+X8452Y16813D2*
+X8689D1*
+X7133Y12873D2*
+Y13503D1*
+X7058Y12873D2*
+Y13503D1*
+Y12873D2*
+X7294D1*
+X7058Y13503D2*
+X7294D1*
+X11431Y12101D2*
+X11943D1*
+X8502Y9508D2*
+X7873D1*
+X8502Y9433D2*
+X7873D1*
+X8502D2*
+Y9669D1*
+X7873Y9433D2*
+Y9669D1*
+X15065Y13383D2*
+X14436D1*
+X15065Y13309D2*
+X14436D1*
+X15065D2*
+Y13544D1*
+X14436Y13309D2*
+Y13544D1*
+X15649Y12555D2*
+Y13067D1*
+X30008Y12524D2*
+X29497D1*
+X29166Y15569D2*
+Y15057D1*
+X26429Y23002D2*
+Y22372D1*
+X26504Y23002D2*
+Y22372D1*
+Y23002D2*
+X26268D1*
+X26504Y22372D2*
+X26268D1*
+X5926Y18042D2*
+X4823D1*
+Y18063D2*
+Y19914D1*
+X5926Y18341D2*
+X4823D1*
+X5926Y19914D2*
+X4823D1*
+X5926Y18063D2*
+Y19914D1*
+D41*
+X12541Y10246D3*
+X13042Y10154D2*
+D10*
+Y8973D1*
+X13239D1*
+Y10154D1*
+X13042D1*
+X19323Y16633D2*
+Y16869D1*
+X19952Y16633D2*
+Y16869D1*
+Y16707D2*
+X19323D1*
+X19952Y16633D2*
+X19323D1*
+X23084Y20232D2*
+Y19051D1*
+X23320D1*
+Y20232D1*
+X23084D1*
+D41*
+X22622Y20324D3*
+X8894Y10951D2*
+D10*
+X9894D1*
+X8894Y12919D2*
+X9894D1*
+D45*
+X10287Y10793D3*
+D41*
+X17334Y12036D3*
+X17344Y11144D2*
+D10*
+X14746D1*
+X17344Y10041D2*
+X14746D1*
+Y11144D2*
+Y10041D1*
+X17344Y11144D2*
+Y10041D1*
+X7165Y21681D2*
+Y24831D1*
+X10709D1*
+Y19161D1*
+X10020D1*
+X7854D2*
+X7165D1*
+Y19555D1*
+X20118Y24921D2*
+X11850D1*
+X20118D2*
+Y18622D1*
+X11850D1*
+Y24921D1*
+X19921Y24331D2*
+Y20000D1*
+X12047D1*
+Y24331D1*
+X20118D2*
+X11850D1*
+X24573Y23129D2*
+Y22442D1*
+X21541Y23129D2*
+Y22442D1*
+X24573Y24459D2*
+Y24955D1*
+X21541D1*
+Y24459D1*
+D29*
+X23687Y20739D3*
+X34113Y19961D2*
+D57*
+X28208D1*
+X34113Y24941D2*
+X28208D1*
+X34113Y24094D2*
+Y24941D1*
+X28208Y24094D2*
+Y24941D1*
+X34113Y24547D2*
+X28208D1*
+Y19961D2*
+Y23012D1*
+X34113Y19961D2*
+Y23012D1*
+X20230Y4597D2*
+D10*
+X26923D1*
+Y6565D1*
+X20230D1*
+Y4597D1*
+X22789D2*
+Y4833D1*
+X24363D1*
+Y4597D2*
+Y4833D1*
+X28127Y4625D2*
+X30127D1*
+Y5625D1*
+X28127D1*
+Y4625D1*
+X34409Y13771D2*
+X36377D1*
+Y7078D1*
+X34409D1*
+Y13771D1*
+Y11212D2*
+X34645D1*
+Y9638D1*
+X34409D2*
+X34645D1*
+X6912Y11594D2*
+X7542D1*
+Y8760D2*
+X6912D1*
+X7542Y11594D2*
+Y8760D1*
+X5337Y11594D2*
+X4707D1*
+X5337Y8760D2*
+X4707D1*
+Y11594D2*
+Y8760D1*
+X26504Y23939D2*
+X26268D1*
+X26504Y23310D2*
+X26268D1*
+X6687Y18437D2*
+X7687D1*
+Y16437D1*
+X6687D1*
+Y18437D1*
+D41*
+X15959Y14629D3*
+X15458Y14721D2*
+D10*
+Y15902D1*
+X15261D1*
+Y14721D1*
+X15458D1*
+D41*
+X14915Y17745D3*
+X15416Y17653D2*
+D10*
+Y16472D1*
+X15613D1*
+Y17653D1*
+X15416D1*
+D41*
+X20630Y17884D3*
+X20722Y18386D2*
+D10*
+X21904D1*
+Y18583D1*
+X20722D1*
+Y18386D1*
+X24590Y12651D2*
+X22227D1*
+Y15013D1*
+X24590D1*
+Y12651D1*
+G36*
+D2*
+Y12966D1*
+X24275Y12651D1*
+X24590D1*
+G37*
+D66*
+X25062Y12474D3*
+X28992Y13313D2*
+D10*
+Y13938D1*
+X27732D2*
+Y13313D1*
+X10401Y18481D2*
+D146*
+X10382Y18519D1*
+X10344Y18558D1*
+X10306Y18577D1*
+X10229D1*
+X10191Y18558D1*
+X10153Y18519D1*
+X10133Y18481D1*
+X10114Y18424D1*
+Y18328D1*
+X10133Y18271D1*
+X10153Y18232D1*
+X10191Y18194D1*
+X10229Y18175D1*
+X10306D1*
+X10344Y18194D1*
+X10382Y18232D1*
+X10401Y18271D1*
+X10525Y18500D2*
+X10563Y18519D1*
+X10620Y18576D1*
+Y18175D1*
+X7436Y14095D2*
+X7417Y14133D1*
+X7379Y14172D1*
+X7341Y14191D1*
+X7264D1*
+X7226Y14172D1*
+X7188Y14133D1*
+X7168Y14095D1*
+X7149Y14038D1*
+Y13942D1*
+X7168Y13885D1*
+X7188Y13846D1*
+X7226Y13808D1*
+X7264Y13789D1*
+X7341D1*
+X7379Y13808D1*
+X7417Y13846D1*
+X7436Y13885D1*
+X7579Y14095D2*
+Y14114D1*
+X7598Y14152D1*
+X7617Y14171D1*
+X7656Y14190D1*
+X7732D1*
+X7770Y14171D1*
+X7789Y14152D1*
+X7809Y14114D1*
+Y14076D1*
+X7789Y14037D1*
+X7751Y13980D1*
+X7560Y13789D1*
+X7828D1*
+X11589Y12715D2*
+X11551Y12696D1*
+X11513Y12657D1*
+X11494Y12619D1*
+Y12543D1*
+X11513Y12504D1*
+X11551Y12466D1*
+X11589Y12447D1*
+X11647Y12428D1*
+X11742D1*
+X11799Y12447D1*
+X11838Y12466D1*
+X11876Y12504D1*
+X11895Y12543D1*
+Y12619D1*
+X11876Y12657D1*
+X11838Y12696D1*
+X11799Y12715D1*
+X11494Y12877D2*
+Y13087D1*
+X11647Y12972D1*
+Y13030D1*
+X11666Y13068D1*
+X11685Y13087D1*
+X11742Y13106D1*
+X11780D1*
+X11838Y13087D1*
+X11876Y13049D1*
+X11895Y12991D1*
+Y12934D1*
+X11876Y12877D1*
+X11857Y12858D1*
+X11819Y12838D1*
+X8146Y8416D2*
+X8127Y8454D1*
+X8089Y8493D1*
+X8051Y8512D1*
+X7974D1*
+X7936Y8493D1*
+X7898Y8454D1*
+X7878Y8416D1*
+X7859Y8359D1*
+Y8263D1*
+X7878Y8206D1*
+X7898Y8167D1*
+X7936Y8129D1*
+X7974Y8110D1*
+X8051D1*
+X8089Y8129D1*
+X8127Y8167D1*
+X8146Y8206D1*
+X8461Y8110D2*
+Y8511D1*
+X8270Y8244D1*
+X8557D1*
+X13906Y13374D2*
+X13868Y13355D1*
+X13830Y13317D1*
+X13811Y13279D1*
+Y13202D1*
+X13830Y13164D1*
+X13868Y13126D1*
+X13906Y13106D1*
+X13964Y13087D1*
+X14059D1*
+X14116Y13106D1*
+X14155Y13126D1*
+X14193Y13164D1*
+X14212Y13202D1*
+Y13279D1*
+X14193Y13317D1*
+X14155Y13355D1*
+X14117Y13374D1*
+X13811Y13727D2*
+Y13536D1*
+X13983Y13517D1*
+X13964Y13536D1*
+X13945Y13594D1*
+Y13651D1*
+X13964Y13708D1*
+X14002Y13747D1*
+X14059Y13766D1*
+X14097D1*
+X14155Y13747D1*
+X14193Y13708D1*
+X14212Y13651D1*
+Y13594D1*
+X14193Y13536D1*
+X14174Y13517D1*
+X14136Y13498D1*
+X17566Y12972D2*
+X17547Y13010D1*
+X17508Y13048D1*
+X17470Y13067D1*
+X17394D1*
+X17355Y13048D1*
+X17317Y13010D1*
+X17298Y12972D1*
+X17279Y12914D1*
+Y12818D1*
+X17298Y12761D1*
+X17317Y12723D1*
+X17355Y12685D1*
+X17394Y12665D1*
+X17470D1*
+X17508Y12685D1*
+X17547Y12723D1*
+X17566Y12761D1*
+X17919Y13010D2*
+X17900Y13048D1*
+X17842Y13067D1*
+X17804D1*
+X17747Y13048D1*
+X17708Y12990D1*
+X17689Y12895D1*
+Y12799D1*
+X17708Y12723D1*
+X17747Y12684D1*
+X17804Y12665D1*
+X17823D1*
+X17880Y12684D1*
+X17919Y12723D1*
+X17938Y12780D1*
+Y12799D1*
+X17919Y12857D1*
+X17880Y12895D1*
+X17823Y12914D1*
+X17804D1*
+X17747Y12895D1*
+X17708Y12857D1*
+X17689Y12799D1*
+X29717Y14744D2*
+X29679Y14725D1*
+X29640Y14686D1*
+X29621Y14648D1*
+Y14572D1*
+X29640Y14533D1*
+X29679Y14495D1*
+X29717Y14476D1*
+X29774Y14457D1*
+X29870D1*
+X29927Y14476D1*
+X29966Y14495D1*
+X30004Y14533D1*
+X30023Y14572D1*
+Y14648D1*
+X30004Y14686D1*
+X29966Y14725D1*
+X29927Y14744D1*
+X29622Y14963D2*
+X29641Y14906D1*
+X29679Y14886D1*
+X29717D1*
+X29755Y14906D1*
+X29775Y14944D1*
+X29794Y15020D1*
+X29813Y15078D1*
+X29851Y15116D1*
+X29889Y15135D1*
+X29947D1*
+X29985Y15116D1*
+X30004Y15097D1*
+X30023Y15039D1*
+Y14963D1*
+X30004Y14906D1*
+X29985Y14886D1*
+X29947Y14867D1*
+X29889D1*
+X29851Y14886D1*
+X29813Y14925D1*
+X29794Y14982D1*
+X29775Y15058D1*
+X29755Y15097D1*
+X29717Y15116D1*
+X29679D1*
+X29641Y15097D1*
+X29622Y15039D1*
+Y14963D1*
+X28431Y16140D2*
+X28412Y16178D1*
+X28374Y16217D1*
+X28336Y16236D1*
+X28259D1*
+X28221Y16217D1*
+X28183Y16178D1*
+X28164Y16140D1*
+X28144Y16083D1*
+Y15987D1*
+X28164Y15930D1*
+X28183Y15891D1*
+X28221Y15853D1*
+X28259Y15834D1*
+X28336D1*
+X28374Y15853D1*
+X28412Y15891D1*
+X28431Y15930D1*
+X28804Y16102D2*
+X28784Y16044D1*
+X28746Y16006D1*
+X28689Y15987D1*
+X28670D1*
+X28612Y16006D1*
+X28574Y16044D1*
+X28555Y16102D1*
+Y16121D1*
+X28574Y16178D1*
+X28612Y16216D1*
+X28670Y16235D1*
+X28689D1*
+X28746Y16216D1*
+X28784Y16178D1*
+X28804Y16102D1*
+Y16006D1*
+X28784Y15910D1*
+X28746Y15853D1*
+X28689Y15834D1*
+X28651D1*
+X28593Y15853D1*
+X28574Y15891D1*
+X25849Y21969D2*
+X25830Y22007D1*
+X25791Y22045D1*
+X25753Y22064D1*
+X25677D1*
+X25638Y22045D1*
+X25600Y22007D1*
+X25581Y21969D1*
+X25562Y21911D1*
+Y21816D1*
+X25581Y21758D1*
+X25600Y21720D1*
+X25638Y21682D1*
+X25677Y21663D1*
+X25753D1*
+X25791Y21682D1*
+X25830Y21720D1*
+X25849Y21758D1*
+X25972Y21988D2*
+X26011Y22007D1*
+X26068Y22064D1*
+Y21663D1*
+X26307Y22064D2*
+X26249Y22045D1*
+X26211Y21988D1*
+X26192Y21892D1*
+Y21835D1*
+X26211Y21739D1*
+X26249Y21682D1*
+X26307Y21663D1*
+X26345D1*
+X26402Y21682D1*
+X26440Y21739D1*
+X26460Y21835D1*
+Y21892D1*
+X26440Y21988D1*
+X26402Y22045D1*
+X26345Y22064D1*
+X26307D1*
+X26440Y21988D2*
+X26211Y21739D1*
+X23948Y10250D2*
+X23929Y10288D1*
+X23890Y10326D1*
+X23852Y10345D1*
+X23776D1*
+X23737Y10326D1*
+X23699Y10288D1*
+X23680Y10250D1*
+X23661Y10192D1*
+Y10096D1*
+X23680Y10039D1*
+X23699Y10001D1*
+X23737Y9963D1*
+X23776Y9943D1*
+X23852D1*
+X23890Y9963D1*
+X23929Y10001D1*
+X23948Y10039D1*
+X24071Y10268D2*
+X24110Y10288D1*
+X24167Y10345D1*
+Y9943D1*
+X24291Y10268D2*
+X24329Y10288D1*
+X24387Y10345D1*
+Y9943D1*
+X25112Y11562D2*
+X25093Y11600D1*
+X25054Y11639D1*
+X25016Y11658D1*
+X24940D1*
+X24901Y11639D1*
+X24863Y11600D1*
+X24844Y11562D1*
+X24825Y11505D1*
+Y11409D1*
+X24844Y11352D1*
+X24863Y11313D1*
+X24901Y11275D1*
+X24940Y11256D1*
+X25016D1*
+X25054Y11275D1*
+X25093Y11313D1*
+X25112Y11352D1*
+X25235Y11581D2*
+X25274Y11600D1*
+X25331Y11657D1*
+Y11256D1*
+X25474Y11562D2*
+Y11581D1*
+X25493Y11619D1*
+X25512Y11638D1*
+X25551Y11657D1*
+X25627D1*
+X25665Y11638D1*
+X25684Y11619D1*
+X25704Y11581D1*
+Y11543D1*
+X25684Y11504D1*
+X25646Y11447D1*
+X25455Y11256D1*
+X25723D1*
+X26940Y15798D2*
+X26902Y15779D1*
+X26863Y15741D1*
+X26844Y15703D1*
+Y15626D1*
+X26863Y15588D1*
+X26902Y15550D1*
+X26940Y15530D1*
+X26997Y15511D1*
+X27093D1*
+X27150Y15530D1*
+X27189Y15550D1*
+X27227Y15588D1*
+X27246Y15626D1*
+Y15703D1*
+X27227Y15741D1*
+X27189Y15779D1*
+X27150Y15798D1*
+X26921Y15922D2*
+X26902Y15960D1*
+X26845Y16018D1*
+X27246D1*
+X26845Y16180D2*
+Y16390D1*
+X26998Y16275D1*
+Y16333D1*
+X27017Y16371D1*
+X27036Y16390D1*
+X27093Y16409D1*
+X27131D1*
+X27189Y16390D1*
+X27227Y16352D1*
+X27246Y16294D1*
+Y16237D1*
+X27227Y16180D1*
+X27208Y16161D1*
+X27170Y16141D1*
+X26312Y15788D2*
+X26274Y15769D1*
+X26236Y15730D1*
+X26217Y15692D1*
+Y15616D1*
+X26236Y15577D1*
+X26274Y15539D1*
+X26312Y15520D1*
+X26370Y15501D1*
+X26466D1*
+X26523Y15520D1*
+X26561Y15539D1*
+X26599Y15577D1*
+X26619Y15616D1*
+Y15692D1*
+X26599Y15730D1*
+X26561Y15769D1*
+X26523Y15788D1*
+X26294Y15911D2*
+X26274Y15950D1*
+X26217Y16007D1*
+X26619D1*
+Y16322D2*
+X26217D1*
+X26485Y16131D1*
+Y16418D1*
+X4175Y18870D2*
+X4577D1*
+Y19004D1*
+X4558Y19061D1*
+X4520Y19100D1*
+X4481Y19119D1*
+X4424Y19138D1*
+X4328D1*
+X4271Y19119D1*
+X4233Y19100D1*
+X4194Y19061D1*
+X4175Y19004D1*
+Y18870D1*
+X4252Y19261D2*
+X4233Y19300D1*
+X4176Y19357D1*
+X4577D1*
+X12677Y8659D2*
+Y8257D1*
+X12811D1*
+X12869Y8276D1*
+X12907Y8314D1*
+X12926Y8353D1*
+X12945Y8410D1*
+Y8506D1*
+X12926Y8563D1*
+X12907Y8601D1*
+X12869Y8640D1*
+X12811Y8659D1*
+X12677D1*
+X13088Y8563D2*
+Y8582D1*
+X13107Y8620D1*
+X13126Y8639D1*
+X13165Y8658D1*
+X13241D1*
+X13279Y8639D1*
+X13298Y8620D1*
+X13318Y8582D1*
+Y8544D1*
+X13298Y8505D1*
+X13260Y8448D1*
+X13069Y8257D1*
+X13337D1*
+X18697Y16412D2*
+X19099D1*
+Y16546D1*
+X19080Y16603D1*
+X19042Y16642D1*
+X19003Y16661D1*
+X18946Y16680D1*
+X18850D1*
+X18793Y16661D1*
+X18755Y16642D1*
+X18716Y16603D1*
+X18697Y16546D1*
+Y16412D1*
+X19099Y16995D2*
+X18698D1*
+X18965Y16803D1*
+Y17090D1*
+X9079Y14005D2*
+Y13603D1*
+X9489Y13910D2*
+X9470Y13948D1*
+X9432Y13986D1*
+X9394Y14005D1*
+X9317D1*
+X9279Y13986D1*
+X9241Y13948D1*
+X9221Y13910D1*
+X9202Y13852D1*
+Y13756D1*
+X9221Y13699D1*
+X9241Y13661D1*
+X9279Y13623D1*
+X9317Y13603D1*
+X9394D1*
+X9432Y13623D1*
+X9470Y13661D1*
+X9489Y13699D1*
+X9613Y13928D2*
+X9651Y13948D1*
+X9709Y14005D1*
+Y13603D1*
+X17365Y9618D2*
+Y9216D1*
+X17776Y9522D2*
+X17757Y9560D1*
+X17718Y9599D1*
+X17680Y9618D1*
+X17604D1*
+X17565Y9599D1*
+X17527Y9560D1*
+X17508Y9522D1*
+X17489Y9465D1*
+Y9369D1*
+X17508Y9312D1*
+X17527Y9273D1*
+X17565Y9235D1*
+X17604Y9216D1*
+X17680D1*
+X17718Y9235D1*
+X17757Y9273D1*
+X17776Y9312D1*
+X17919Y9522D2*
+Y9541D1*
+X17938Y9579D1*
+X17957Y9598D1*
+X17995Y9617D1*
+X18072D1*
+X18110Y9598D1*
+X18129Y9579D1*
+X18148Y9541D1*
+Y9503D1*
+X18129Y9465D1*
+X18091Y9407D1*
+X17899Y9216D1*
+X18167D1*
+X7812Y22979D2*
+Y22673D1*
+X7793Y22615D1*
+X7773Y22596D1*
+X7735Y22577D1*
+X7697D1*
+X7659Y22596D1*
+X7640Y22615D1*
+X7620Y22673D1*
+Y22711D1*
+X7935Y22902D2*
+X7974Y22921D1*
+X8031Y22978D1*
+Y22577D1*
+X15884Y22131D2*
+Y21825D1*
+X15865Y21768D1*
+X15846Y21749D1*
+X15808Y21729D1*
+X15769D1*
+X15731Y21749D1*
+X15712Y21768D1*
+X15693Y21825D1*
+Y21863D1*
+X16027Y22035D2*
+Y22054D1*
+X16046Y22093D1*
+X16065Y22112D1*
+X16104Y22131D1*
+X16180D1*
+X16218Y22112D1*
+X16237Y22093D1*
+X16257Y22054D1*
+Y22016D1*
+X16237Y21978D1*
+X16199Y21921D1*
+X16008Y21729D1*
+X16276D1*
+X22895Y23916D2*
+Y23610D1*
+X22876Y23552D1*
+X22856Y23533D1*
+X22818Y23514D1*
+X22780D1*
+X22742Y23533D1*
+X22723Y23552D1*
+X22703Y23610D1*
+Y23648D1*
+X23057Y23915D2*
+X23267D1*
+X23152Y23762D1*
+X23210D1*
+X23248Y23743D1*
+X23267Y23724D1*
+X23286Y23667D1*
+Y23629D1*
+X23267Y23571D1*
+X23229Y23533D1*
+X23171Y23514D1*
+X23114D1*
+X23057Y23533D1*
+X23038Y23552D1*
+X23018Y23590D1*
+X34614Y24652D2*
+Y24346D1*
+X34595Y24288D1*
+X34575Y24269D1*
+X34537Y24250D1*
+X34499D1*
+X34461Y24269D1*
+X34442Y24288D1*
+X34422Y24346D1*
+Y24384D1*
+X34929Y24250D2*
+Y24651D1*
+X34737Y24384D1*
+X35024D1*
+X22164Y4463D2*
+Y4157D1*
+X22145Y4100D1*
+X22125Y4081D1*
+X22087Y4061D1*
+X22049D1*
+X22011Y4081D1*
+X21992Y4100D1*
+X21972Y4157D1*
+Y4195D1*
+X22517Y4463D2*
+X22326D1*
+X22307Y4291D1*
+X22326Y4310D1*
+X22383Y4329D1*
+X22440D1*
+X22498Y4310D1*
+X22536Y4272D1*
+X22555Y4214D1*
+Y4176D1*
+X22536Y4119D1*
+X22498Y4080D1*
+X22440Y4061D1*
+X22383D1*
+X22326Y4080D1*
+X22307Y4100D1*
+X22287Y4138D1*
+X23494Y4431D2*
+Y4125D1*
+X23475Y4067D1*
+X23455Y4048D1*
+X23417Y4029D1*
+X23379D1*
+X23341Y4048D1*
+X23322Y4067D1*
+X23302Y4125D1*
+Y4163D1*
+X23751Y4431D2*
+Y4029D1*
+X23617Y4431D2*
+X23885D1*
+X24315Y4029D2*
+X24162Y4431D1*
+X24009Y4029D1*
+X24066Y4163D2*
+X24257D1*
+X24725Y4335D2*
+X24706Y4373D1*
+X24668Y4412D1*
+X24630Y4431D1*
+X24553D1*
+X24515Y4412D1*
+X24477Y4373D1*
+X24457Y4335D1*
+X24438Y4278D1*
+Y4182D1*
+X24457Y4125D1*
+X24477Y4086D1*
+X24515Y4048D1*
+X24553Y4029D1*
+X24630D1*
+X24668Y4048D1*
+X24706Y4086D1*
+X24725Y4125D1*
+Y4182D1*
+X24630D1*
+X28474Y4460D2*
+Y4154D1*
+X28455Y4097D1*
+X28436Y4078D1*
+X28398Y4058D1*
+X28359D1*
+X28321Y4078D1*
+X28302Y4097D1*
+X28283Y4154D1*
+Y4192D1*
+X28827Y4403D2*
+X28808Y4441D1*
+X28751Y4460D1*
+X28713D1*
+X28655Y4441D1*
+X28617Y4383D1*
+X28598Y4288D1*
+Y4192D1*
+X28617Y4116D1*
+X28655Y4077D1*
+X28713Y4058D1*
+X28732D1*
+X28789Y4077D1*
+X28827Y4116D1*
+X28846Y4173D1*
+Y4192D1*
+X28827Y4250D1*
+X28789Y4288D1*
+X28732Y4307D1*
+X28713D1*
+X28655Y4288D1*
+X28617Y4250D1*
+X28598Y4192D1*
+X29236Y4475D2*
+Y4073D1*
+X29627Y4417D2*
+X29589Y4456D1*
+X29532Y4475D1*
+X29455D1*
+X29398Y4456D1*
+X29359Y4417D1*
+Y4379D1*
+X29379Y4341D1*
+X29398Y4322D1*
+X29436Y4303D1*
+X29551Y4264D1*
+X29589Y4245D1*
+X29608Y4226D1*
+X29627Y4188D1*
+Y4130D1*
+X29589Y4092D1*
+X29532Y4073D1*
+X29455D1*
+X29398Y4092D1*
+X29359Y4130D1*
+X29751Y4264D2*
+X29923D1*
+X29980Y4283D1*
+X30000Y4303D1*
+X30019Y4341D1*
+Y4398D1*
+X30000Y4436D1*
+X29980Y4456D1*
+X29923Y4475D1*
+X29751D1*
+Y4073D1*
+X36683Y8608D2*
+X36989D1*
+X37046Y8589D1*
+X37065Y8570D1*
+X37085Y8532D1*
+Y8493D1*
+X37065Y8455D1*
+X37046Y8436D1*
+X36989Y8417D1*
+X36951D1*
+X37085Y8808D2*
+X36683Y9000D1*
+Y8732D1*
+X36879Y9584D2*
+Y9756D1*
+X36860Y9813D1*
+X36840Y9833D1*
+X36802Y9852D1*
+X36745D1*
+X36707Y9833D1*
+X36687Y9813D1*
+X36668Y9756D1*
+Y9584D1*
+X37070D1*
+X36802Y10205D2*
+X37070D1*
+X36860D2*
+X36821Y10167D1*
+X36802Y10128D1*
+Y10071D1*
+X36821Y10033D1*
+X36860Y9995D1*
+X36917Y9975D1*
+X36955D1*
+X37013Y9995D1*
+X37051Y10033D1*
+X37070Y10071D1*
+Y10128D1*
+X37051Y10167D1*
+X37013Y10205D1*
+X36802Y10328D2*
+X37070D1*
+X36879D2*
+X36821Y10386D1*
+X36802Y10424D1*
+Y10481D1*
+X36821Y10520D1*
+X36879Y10539D1*
+X37070D1*
+X36917Y10662D2*
+Y10892D1*
+X36879D1*
+X36840Y10873D1*
+X36821Y10854D1*
+X36802Y10815D1*
+Y10758D1*
+X36821Y10720D1*
+X36860Y10681D1*
+X36917Y10662D1*
+X36955D1*
+X37013Y10681D1*
+X37051Y10720D1*
+X37070Y10758D1*
+Y10815D1*
+X37051Y10854D1*
+X37013Y10892D1*
+X36668Y11015D2*
+X37070D1*
+X5900Y12338D2*
+Y11936D1*
+X6130D1*
+X6253Y12261D2*
+X6291Y12280D1*
+X6349Y12337D1*
+Y11936D1*
+X9274Y8331D2*
+X9446D1*
+X9503Y8350D1*
+X9523Y8369D1*
+X9542Y8407D1*
+Y8446D1*
+X9523Y8484D1*
+X9503Y8503D1*
+X9446Y8522D1*
+X9274D1*
+Y8120D1*
+X9408Y8331D2*
+X9542Y8120D1*
+X9665Y8445D2*
+X9704Y8465D1*
+X9761Y8522D1*
+Y8120D1*
+X10731Y9189D2*
+X10903D1*
+X10961Y9208D1*
+X10980Y9227D1*
+X10999Y9265D1*
+Y9304D1*
+X10980Y9342D1*
+X10961Y9361D1*
+X10903Y9380D1*
+X10731D1*
+Y8978D1*
+X10865Y9189D2*
+X10999Y8978D1*
+X11142Y9284D2*
+Y9303D1*
+X11161Y9342D1*
+X11180Y9361D1*
+X11219Y9380D1*
+X11295D1*
+X11333Y9361D1*
+X11352Y9342D1*
+X11372Y9303D1*
+Y9265D1*
+X11352Y9227D1*
+X11314Y9170D1*
+X11123Y8978D1*
+X11391D1*
+X18938Y14671D2*
+Y14843D1*
+X18918Y14900D1*
+X18899Y14920D1*
+X18861Y14939D1*
+X18823D1*
+X18785Y14920D1*
+X18765Y14900D1*
+X18746Y14843D1*
+Y14671D1*
+X19148D1*
+X18938Y14805D2*
+X19148Y14939D1*
+X18747Y15101D2*
+Y15311D1*
+X18900Y15196D1*
+Y15254D1*
+X18919Y15292D1*
+X18938Y15311D1*
+X18995Y15330D1*
+X19033D1*
+X19091Y15311D1*
+X19129Y15273D1*
+X19148Y15215D1*
+Y15158D1*
+X19129Y15101D1*
+X19110Y15082D1*
+X19072Y15062D1*
+X17936Y13785D2*
+Y13957D1*
+X17917Y14015D1*
+X17898Y14034D1*
+X17860Y14053D1*
+X17821D1*
+X17783Y14034D1*
+X17764Y14015D1*
+X17745Y13957D1*
+Y13785D1*
+X18147D1*
+X17936Y13919D2*
+X18147Y14053D1*
+Y14368D2*
+X17745D1*
+X18013Y14177D1*
+Y14464D1*
+X16874Y13795D2*
+Y13967D1*
+X16854Y14024D1*
+X16835Y14044D1*
+X16797Y14063D1*
+X16759D1*
+X16721Y14044D1*
+X16701Y14024D1*
+X16682Y13967D1*
+Y13795D1*
+X17084D1*
+X16874Y13929D2*
+X17084Y14063D1*
+X16683Y14416D2*
+Y14225D1*
+X16855Y14206D1*
+X16836Y14225D1*
+X16816Y14282D1*
+Y14339D1*
+X16836Y14397D1*
+X16874Y14435D1*
+X16931Y14454D1*
+X16969D1*
+X17027Y14435D1*
+X17065Y14397D1*
+X17084Y14339D1*
+Y14282D1*
+X17065Y14225D1*
+X17046Y14206D1*
+X17008Y14186D1*
+X11868Y15939D2*
+X12040D1*
+X12097Y15958D1*
+X12117Y15978D1*
+X12136Y16016D1*
+Y16054D1*
+X12117Y16092D1*
+X12097Y16111D1*
+X12040Y16130D1*
+X11868D1*
+Y15729D1*
+X12002Y15939D2*
+X12136Y15729D1*
+X12489Y16073D2*
+X12470Y16111D1*
+X12412Y16130D1*
+X12374D1*
+X12317Y16111D1*
+X12278Y16054D1*
+X12259Y15958D1*
+Y15863D1*
+X12278Y15786D1*
+X12317Y15748D1*
+X12374Y15729D1*
+X12393D1*
+X12450Y15748D1*
+X12489Y15786D1*
+X12508Y15844D1*
+Y15863D1*
+X12489Y15920D1*
+X12450Y15958D1*
+X12393Y15977D1*
+X12374D1*
+X12317Y15958D1*
+X12278Y15920D1*
+X12259Y15863D1*
+X11919Y17127D2*
+X12091D1*
+X12148Y17146D1*
+X12168Y17165D1*
+X12187Y17203D1*
+Y17242D1*
+X12168Y17280D1*
+X12148Y17299D1*
+X12091Y17318D1*
+X11919D1*
+Y16916D1*
+X12053Y17127D2*
+X12187Y16916D1*
+X12387D2*
+X12578Y17318D1*
+X12310D1*
+X16982Y17752D2*
+X17154D1*
+X17211Y17771D1*
+X17230Y17790D1*
+X17249Y17828D1*
+Y17867D1*
+X17230Y17905D1*
+X17211Y17924D1*
+X17154Y17943D1*
+X16982D1*
+Y17541D1*
+X17116Y17752D2*
+X17249Y17541D1*
+X17469Y17943D2*
+X17411Y17924D1*
+X17392Y17886D1*
+Y17847D1*
+X17411Y17809D1*
+X17449Y17790D1*
+X17526Y17771D1*
+X17583Y17752D1*
+X17621Y17713D1*
+X17641Y17675D1*
+Y17618D1*
+X17621Y17580D1*
+X17602Y17560D1*
+X17545Y17541D1*
+X17469D1*
+X17411Y17560D1*
+X17392Y17580D1*
+X17373Y17618D1*
+Y17675D1*
+X17392Y17713D1*
+X17430Y17752D1*
+X17488Y17771D1*
+X17564Y17790D1*
+X17602Y17809D1*
+X17621Y17847D1*
+Y17886D1*
+X17602Y17924D1*
+X17545Y17943D1*
+X17469D1*
+X19936Y7064D2*
+X20108D1*
+X20165Y7084D1*
+X20185Y7103D1*
+X20204Y7141D1*
+Y7179D1*
+X20185Y7217D1*
+X20165Y7237D1*
+X20108Y7256D1*
+X19936D1*
+Y6854D1*
+X20070Y7064D2*
+X20204Y6854D1*
+X20327Y7179D2*
+X20366Y7198D1*
+X20423Y7255D1*
+Y6854D1*
+X20662Y7255D2*
+X20604Y7236D1*
+X20566Y7179D1*
+X20547Y7083D1*
+Y7026D1*
+X20566Y6930D1*
+X20604Y6873D1*
+X20662Y6854D1*
+X20700D1*
+X20757Y6873D1*
+X20795Y6930D1*
+X20815Y7026D1*
+Y7083D1*
+X20795Y7179D1*
+X20757Y7236D1*
+X20700Y7255D1*
+X20662D1*
+X20795Y7179D2*
+X20566Y6930D1*
+X22351Y17080D2*
+X22523D1*
+X22580Y17100D1*
+X22600Y17119D1*
+X22619Y17157D1*
+Y17195D1*
+X22600Y17233D1*
+X22580Y17253D1*
+X22523Y17272D1*
+X22351D1*
+Y16870D1*
+X22485Y17080D2*
+X22619Y16870D1*
+X22742Y17195D2*
+X22781Y17214D1*
+X22838Y17271D1*
+Y16870D1*
+X22962Y17195D2*
+X23000Y17214D1*
+X23058Y17271D1*
+Y16870D1*
+X23452Y17080D2*
+X23624D1*
+X23682Y17100D1*
+X23701Y17119D1*
+X23720Y17157D1*
+Y17195D1*
+X23701Y17233D1*
+X23682Y17253D1*
+X23624Y17272D1*
+X23452D1*
+Y16870D1*
+X23586Y17080D2*
+X23720Y16870D1*
+X23844Y17195D2*
+X23882Y17214D1*
+X23940Y17271D1*
+Y16870D1*
+X24083Y17176D2*
+Y17195D1*
+X24102Y17233D1*
+X24121Y17252D1*
+X24159Y17271D1*
+X24236D1*
+X24274Y17252D1*
+X24293Y17233D1*
+X24312Y17195D1*
+Y17157D1*
+X24293Y17118D1*
+X24255Y17061D1*
+X24063Y16870D1*
+X24331D1*
+X20998Y20503D2*
+X21170D1*
+X21227Y20522D1*
+X21247Y20541D1*
+X21266Y20579D1*
+Y20618D1*
+X21247Y20656D1*
+X21227Y20675D1*
+X21170Y20694D1*
+X20998D1*
+Y20292D1*
+X21132Y20503D2*
+X21266Y20292D1*
+X21389Y20617D2*
+X21428Y20637D1*
+X21485Y20694D1*
+Y20292D1*
+X21647Y20694D2*
+X21857D1*
+X21742Y20541D1*
+X21800D1*
+X21838Y20522D1*
+X21857Y20503D1*
+X21876Y20445D1*
+Y20407D1*
+X21857Y20350D1*
+X21819Y20311D1*
+X21761Y20292D1*
+X21704D1*
+X21647Y20311D1*
+X21628Y20331D1*
+X21608Y20369D1*
+X20987Y16564D2*
+X21159D1*
+X21217Y16583D1*
+X21236Y16602D1*
+X21255Y16640D1*
+Y16679D1*
+X21236Y16717D1*
+X21217Y16736D1*
+X21159Y16755D1*
+X20987D1*
+Y16354D1*
+X21121Y16564D2*
+X21255Y16354D1*
+X21379Y16678D2*
+X21417Y16698D1*
+X21474Y16755D1*
+Y16354D1*
+X21789D2*
+Y16755D1*
+X21598Y16487D1*
+X21885D1*
+X25946Y24436D2*
+X26118D1*
+X26176Y24455D1*
+X26195Y24474D1*
+X26214Y24512D1*
+Y24551D1*
+X26195Y24589D1*
+X26176Y24608D1*
+X26118Y24627D1*
+X25946D1*
+Y24225D1*
+X26080Y24436D2*
+X26214Y24225D1*
+X26338Y24550D2*
+X26376Y24570D1*
+X26434Y24627D1*
+Y24225D1*
+X26787Y24627D2*
+X26596D1*
+X26577Y24455D1*
+X26596Y24474D1*
+X26653Y24493D1*
+X26710D1*
+X26768Y24474D1*
+X26806Y24436D1*
+X26825Y24378D1*
+Y24340D1*
+X26806Y24283D1*
+X26768Y24244D1*
+X26710Y24225D1*
+X26653D1*
+X26596Y24244D1*
+X26577Y24264D1*
+X26557Y24302D1*
+X25310Y19133D2*
+Y19305D1*
+X25291Y19363D1*
+X25272Y19382D1*
+X25234Y19401D1*
+X25195D1*
+X25157Y19382D1*
+X25138Y19363D1*
+X25119Y19305D1*
+Y19133D1*
+X25521D1*
+X25310Y19267D2*
+X25521Y19401D1*
+X25196Y19525D2*
+X25176Y19563D1*
+X25119Y19621D1*
+X25521D1*
+X25176Y19974D2*
+X25138Y19955D1*
+X25119Y19897D1*
+Y19859D1*
+X25138Y19802D1*
+X25196Y19763D1*
+X25291Y19744D1*
+X25387D1*
+X25463Y19763D1*
+X25502Y19802D1*
+X25521Y19859D1*
+Y19878D1*
+X25502Y19935D1*
+X25463Y19974D1*
+X25406Y19993D1*
+X25387D1*
+X25329Y19974D1*
+X25291Y19935D1*
+X25272Y19878D1*
+Y19859D1*
+X25291Y19802D1*
+X25329Y19763D1*
+X25387Y19744D1*
+X24498Y17813D2*
+X24670D1*
+X24727Y17832D1*
+X24747Y17851D1*
+X24766Y17889D1*
+Y17928D1*
+X24747Y17966D1*
+X24727Y17985D1*
+X24670Y18004D1*
+X24498D1*
+Y17603D1*
+X24632Y17813D2*
+X24766Y17603D1*
+X24889Y17927D2*
+X24928Y17947D1*
+X24985Y18004D1*
+Y17603D1*
+X25185D2*
+X25376Y18004D1*
+X25108D1*
+X29001Y6939D2*
+X29173D1*
+X29231Y6959D1*
+X29250Y6978D1*
+X29269Y7016D1*
+Y7054D1*
+X29250Y7092D1*
+X29231Y7112D1*
+X29173Y7131D1*
+X29001D1*
+Y6729D1*
+X29135Y6939D2*
+X29269Y6729D1*
+X29393Y7054D2*
+X29431Y7073D1*
+X29489Y7130D1*
+Y6729D1*
+X29708Y7130D2*
+X29651Y7111D1*
+X29631Y7073D1*
+Y7035D1*
+X29651Y6997D1*
+X29689Y6977D1*
+X29765Y6958D1*
+X29823Y6939D1*
+X29861Y6901D1*
+X29880Y6863D1*
+Y6805D1*
+X29861Y6767D1*
+X29842Y6748D1*
+X29784Y6729D1*
+X29708D1*
+X29651Y6748D1*
+X29631Y6767D1*
+X29612Y6805D1*
+Y6863D1*
+X29631Y6901D1*
+X29670Y6939D1*
+X29727Y6958D1*
+X29803Y6977D1*
+X29842Y6997D1*
+X29861Y7035D1*
+Y7073D1*
+X29842Y7111D1*
+X29784Y7130D1*
+X29708D1*
+X27215Y6957D2*
+X27387D1*
+X27445Y6976D1*
+X27464Y6995D1*
+X27483Y7033D1*
+Y7072D1*
+X27464Y7110D1*
+X27445Y7129D1*
+X27387Y7148D1*
+X27215D1*
+Y6746D1*
+X27349Y6957D2*
+X27483Y6746D1*
+X27607Y7071D2*
+X27645Y7091D1*
+X27703Y7148D1*
+Y6746D1*
+X28075Y7014D2*
+X28056Y6957D1*
+X28018Y6918D1*
+X27960Y6899D1*
+X27941D1*
+X27884Y6918D1*
+X27846Y6957D1*
+X27826Y7014D1*
+Y7033D1*
+X27846Y7091D1*
+X27884Y7129D1*
+X27941Y7148D1*
+X27960D1*
+X28018Y7129D1*
+X28056Y7091D1*
+X28075Y7014D1*
+Y6918D1*
+X28056Y6823D1*
+X28018Y6765D1*
+X27960Y6746D1*
+X27922D1*
+X27865Y6765D1*
+X27846Y6804D1*
+X30375Y16789D2*
+Y16961D1*
+X30356Y17018D1*
+X30337Y17038D1*
+X30299Y17057D1*
+X30260D1*
+X30222Y17038D1*
+X30203Y17018D1*
+X30184Y16961D1*
+Y16789D1*
+X30586D1*
+X30375Y16923D2*
+X30586Y17057D1*
+X30280Y17200D2*
+X30261D1*
+X30222Y17219D1*
+X30203Y17238D1*
+X30184Y17276D1*
+Y17353D1*
+X30203Y17391D1*
+X30222Y17410D1*
+X30261Y17429D1*
+X30299D1*
+X30337Y17410D1*
+X30394Y17372D1*
+X30586Y17180D1*
+Y17448D1*
+X30184Y17687D2*
+X30203Y17629D1*
+X30261Y17591D1*
+X30356Y17572D1*
+X30414D1*
+X30509Y17591D1*
+X30567Y17629D1*
+X30586Y17687D1*
+Y17725D1*
+X30567Y17782D1*
+X30509Y17820D1*
+X30414Y17840D1*
+X30356D1*
+X30261Y17820D1*
+X30203Y17782D1*
+X30184Y17725D1*
+Y17687D1*
+X30261Y17820D2*
+X30509Y17591D1*
+X31125Y16812D2*
+Y16984D1*
+X31106Y17042D1*
+X31087Y17061D1*
+X31049Y17080D1*
+X31010D1*
+X30972Y17061D1*
+X30953Y17042D1*
+X30934Y16984D1*
+Y16812D1*
+X31336D1*
+X31125Y16946D2*
+X31336Y17080D1*
+X31030Y17223D2*
+X31011D1*
+X30972Y17242D1*
+X30953Y17261D1*
+X30934Y17300D1*
+Y17376D1*
+X30953Y17414D1*
+X30972Y17433D1*
+X31011Y17453D1*
+X31049D1*
+X31087Y17433D1*
+X31144Y17395D1*
+X31336Y17204D1*
+Y17472D1*
+X31011Y17595D2*
+X30991Y17634D1*
+X30934Y17691D1*
+X31336D1*
+X36438Y18164D2*
+Y18336D1*
+X36419Y18394D1*
+X36400Y18413D1*
+X36362Y18432D1*
+X36323D1*
+X36285Y18413D1*
+X36266Y18394D1*
+X36247Y18336D1*
+Y18164D1*
+X36649D1*
+X36438Y18298D2*
+X36649Y18432D1*
+X36343Y18575D2*
+X36324D1*
+X36285Y18594D1*
+X36266Y18613D1*
+X36247Y18652D1*
+Y18728D1*
+X36266Y18766D1*
+X36285Y18785D1*
+X36324Y18805D1*
+X36362D1*
+X36400Y18785D1*
+X36457Y18747D1*
+X36649Y18556D1*
+Y18824D1*
+X36343Y18967D2*
+X36324D1*
+X36285Y18986D1*
+X36266Y19005D1*
+X36247Y19043D1*
+Y19120D1*
+X36266Y19158D1*
+X36285Y19177D1*
+X36324Y19196D1*
+X36362D1*
+X36400Y19177D1*
+X36457Y19139D1*
+X36649Y18947D1*
+Y19215D1*
+X34938Y16789D2*
+Y16961D1*
+X34919Y17018D1*
+X34900Y17038D1*
+X34862Y17057D1*
+X34823D1*
+X34785Y17038D1*
+X34766Y17018D1*
+X34747Y16961D1*
+Y16789D1*
+X35149D1*
+X34938Y16923D2*
+X35149Y17057D1*
+X34843Y17200D2*
+X34824D1*
+X34785Y17219D1*
+X34766Y17238D1*
+X34747Y17276D1*
+Y17353D1*
+X34766Y17391D1*
+X34785Y17410D1*
+X34824Y17429D1*
+X34862D1*
+X34900Y17410D1*
+X34957Y17372D1*
+X35149Y17180D1*
+Y17448D1*
+X34747Y17610D2*
+Y17820D1*
+X34900Y17706D1*
+Y17763D1*
+X34919Y17801D1*
+X34938Y17820D1*
+X34996Y17840D1*
+X35034D1*
+X35091Y17820D1*
+X35130Y17782D1*
+X35149Y17725D1*
+Y17667D1*
+X35130Y17610D1*
+X35110Y17591D1*
+X35072Y17572D1*
+X28750Y16842D2*
+Y17014D1*
+X28731Y17071D1*
+X28712Y17091D1*
+X28674Y17110D1*
+X28635D1*
+X28597Y17091D1*
+X28578Y17071D1*
+X28559Y17014D1*
+Y16842D1*
+X28961D1*
+X28750Y16976D2*
+X28961Y17110D1*
+X28655Y17253D2*
+X28636D1*
+X28597Y17272D1*
+X28578Y17291D1*
+X28559Y17329D1*
+Y17406D1*
+X28578Y17444D1*
+X28597Y17463D1*
+X28636Y17482D1*
+X28674D1*
+X28712Y17463D1*
+X28769Y17425D1*
+X28961Y17233D1*
+Y17501D1*
+Y17816D2*
+X28559D1*
+X28827Y17625D1*
+Y17912D1*
+X29563Y16789D2*
+Y16961D1*
+X29543Y17018D1*
+X29524Y17038D1*
+X29486Y17057D1*
+X29448D1*
+X29410Y17038D1*
+X29390Y17018D1*
+X29371Y16961D1*
+Y16789D1*
+X29773D1*
+X29563Y16923D2*
+X29773Y17057D1*
+X29467Y17200D2*
+X29448D1*
+X29410Y17219D1*
+X29391Y17238D1*
+X29372Y17276D1*
+Y17353D1*
+X29391Y17391D1*
+X29410Y17410D1*
+X29448Y17429D1*
+X29486D1*
+X29525Y17410D1*
+X29582Y17372D1*
+X29773Y17180D1*
+Y17448D1*
+X29372Y17801D2*
+Y17610D1*
+X29544Y17591D1*
+X29525Y17610D1*
+X29505Y17668D1*
+Y17725D1*
+X29525Y17782D1*
+X29563Y17821D1*
+X29620Y17840D1*
+X29658D1*
+X29716Y17821D1*
+X29754Y17782D1*
+X29773Y17725D1*
+Y17668D1*
+X29754Y17610D1*
+X29735Y17591D1*
+X29697Y17572D1*
+X34126Y16799D2*
+Y16971D1*
+X34106Y17028D1*
+X34087Y17048D1*
+X34049Y17067D1*
+X34011D1*
+X33973Y17048D1*
+X33953Y17028D1*
+X33934Y16971D1*
+Y16799D1*
+X34336D1*
+X34126Y16933D2*
+X34336Y17067D1*
+X34030Y17210D2*
+X34011D1*
+X33973Y17229D1*
+X33954Y17248D1*
+X33935Y17286D1*
+Y17363D1*
+X33954Y17401D1*
+X33973Y17420D1*
+X34011Y17439D1*
+X34049D1*
+X34088Y17420D1*
+X34145Y17382D1*
+X34336Y17190D1*
+Y17458D1*
+X33992Y17811D2*
+X33954Y17792D1*
+X33935Y17735D1*
+Y17697D1*
+X33954Y17639D1*
+X34011Y17601D1*
+X34107Y17582D1*
+X34202D1*
+X34279Y17601D1*
+X34317Y17639D1*
+X34336Y17697D1*
+Y17716D1*
+X34317Y17773D1*
+X34279Y17811D1*
+X34221Y17830D1*
+X34202D1*
+X34145Y17811D1*
+X34107Y17773D1*
+X34088Y17716D1*
+Y17697D1*
+X34107Y17639D1*
+X34145Y17601D1*
+X34202Y17582D1*
+X33313Y16789D2*
+Y16961D1*
+X33294Y17018D1*
+X33275Y17038D1*
+X33237Y17057D1*
+X33198D1*
+X33160Y17038D1*
+X33141Y17018D1*
+X33122Y16961D1*
+Y16789D1*
+X33524D1*
+X33313Y16923D2*
+X33524Y17057D1*
+X33218Y17200D2*
+X33199D1*
+X33160Y17219D1*
+X33141Y17238D1*
+X33122Y17276D1*
+Y17353D1*
+X33141Y17391D1*
+X33160Y17410D1*
+X33199Y17429D1*
+X33237D1*
+X33275Y17410D1*
+X33332Y17372D1*
+X33524Y17180D1*
+Y17448D1*
+Y17648D2*
+X33122Y17840D1*
+Y17572D1*
+X31938Y16727D2*
+Y16899D1*
+X31918Y16956D1*
+X31899Y16976D1*
+X31861Y16995D1*
+X31823D1*
+X31785Y16976D1*
+X31765Y16956D1*
+X31746Y16899D1*
+Y16727D1*
+X32148D1*
+X31938Y16861D2*
+X32148Y16995D1*
+X31842Y17138D2*
+X31823D1*
+X31785Y17157D1*
+X31766Y17176D1*
+X31747Y17214D1*
+Y17291D1*
+X31766Y17329D1*
+X31785Y17348D1*
+X31823Y17367D1*
+X31861D1*
+X31900Y17348D1*
+X31957Y17310D1*
+X32148Y17118D1*
+Y17386D1*
+X31747Y17605D2*
+X31766Y17548D1*
+X31804Y17529D1*
+X31842D1*
+X31880Y17548D1*
+X31900Y17586D1*
+X31919Y17663D1*
+X31938Y17720D1*
+X31976Y17758D1*
+X32014Y17777D1*
+X32072D1*
+X32110Y17758D1*
+X32129Y17739D1*
+X32148Y17682D1*
+Y17605D1*
+X32129Y17548D1*
+X32110Y17529D1*
+X32072Y17510D1*
+X32014D1*
+X31976Y17529D1*
+X31938Y17567D1*
+X31919Y17624D1*
+X31900Y17701D1*
+X31880Y17739D1*
+X31842Y17758D1*
+X31804D1*
+X31766Y17739D1*
+X31747Y17682D1*
+Y17605D1*
+X6896Y16028D2*
+X6858Y16066D1*
+X6800Y16085D1*
+X6724D1*
+X6666Y16066D1*
+X6628Y16028D1*
+Y15990D1*
+X6647Y15951D1*
+X6666Y15932D1*
+X6704Y15913D1*
+X6819Y15875D1*
+X6858Y15856D1*
+X6877Y15836D1*
+X6896Y15798D1*
+Y15741D1*
+X6858Y15703D1*
+X6800Y15683D1*
+X6724D1*
+X6666Y15703D1*
+X6628Y15741D1*
+X7019Y16085D2*
+X7115Y15683D1*
+X7211Y16085D1*
+X7306Y15683D1*
+X7402Y16085D1*
+X7526Y16008D2*
+X7564Y16028D1*
+X7621Y16085D1*
+Y15683D1*
+X14446Y14737D2*
+Y14335D1*
+X14312Y14737D2*
+X14580D1*
+X14703Y14660D2*
+X14742Y14680D1*
+X14799Y14737D1*
+Y14335D1*
+X15935Y18158D2*
+Y17756D1*
+X15801Y18158D2*
+X16069D1*
+X16212Y18062D2*
+Y18081D1*
+X16231Y18120D1*
+X16250Y18139D1*
+X16289Y18158D1*
+X16365D1*
+X16403Y18139D1*
+X16422Y18120D1*
+X16442Y18081D1*
+Y18043D1*
+X16422Y18005D1*
+X16384Y17948D1*
+X16193Y17756D1*
+X16461D1*
+X20470Y19354D2*
+Y18953D1*
+X20336Y19354D2*
+X20604D1*
+X20766D2*
+X20976D1*
+X20862Y19201D1*
+X20919D1*
+X20957Y19182D1*
+X20976Y19163D1*
+X20996Y19106D1*
+Y19067D1*
+X20976Y19010D1*
+X20938Y18972D1*
+X20881Y18953D1*
+X20823D1*
+X20766Y18972D1*
+X20747Y18991D1*
+X20728Y19029D1*
+X23165Y16386D2*
+Y16100D1*
+X23184Y16042D1*
+X23222Y16004D1*
+X23280Y15985D1*
+X23318D1*
+X23375Y16004D1*
+X23414Y16042D1*
+X23433Y16100D1*
+Y16386D1*
+X23556Y16310D2*
+X23595Y16329D1*
+X23652Y16386D1*
+Y15985D1*
+X28118Y15272D2*
+X28386Y14870D1*
+Y15272D2*
+X28118Y14870D1*
+X28510Y15195D2*
+X28548Y15215D1*
+X28606Y15272D1*
+Y14870D1*
+X8183Y5115D2*
+D147*
+X8145Y5191D1*
+X8068Y5267D1*
+X7992Y5306D1*
+X7839D1*
+X7762Y5267D1*
+X7686Y5191D1*
+X7647Y5115D1*
+X7609Y5000D1*
+Y4808D1*
+X7647Y4694D1*
+X7686Y4617D1*
+X7762Y4541D1*
+X7839Y4502D1*
+X7992D1*
+X8068Y4541D1*
+X8145Y4617D1*
+X8183Y4694D1*
+X8621Y5038D2*
+X8545Y5000D1*
+X8468Y4923D1*
+X8430Y4808D1*
+Y4732D1*
+X8468Y4617D1*
+X8545Y4541D1*
+X8621Y4502D1*
+X8736D1*
+X8813Y4541D1*
+X8889Y4617D1*
+X8928Y4732D1*
+Y4808D1*
+X8889Y4923D1*
+X8813Y5000D1*
+X8736Y5038D1*
+X8621D1*
+X9634Y5306D2*
+Y4502D1*
+Y4923D2*
+X9558Y5000D1*
+X9481Y5038D1*
+X9366D1*
+X9290Y5000D1*
+X9213Y4923D1*
+X9175Y4808D1*
+Y4732D1*
+X9213Y4617D1*
+X9290Y4541D1*
+X9366Y4502D1*
+X9481D1*
+X9558Y4541D1*
+X9634Y4617D1*
+X9881Y4808D2*
+X10340D1*
+Y4885D1*
+X10302Y4962D1*
+X10264Y5000D1*
+X10187Y5038D1*
+X10072D1*
+X9996Y5000D1*
+X9919Y4923D1*
+X9881Y4808D1*
+Y4732D1*
+X9919Y4617D1*
+X9996Y4541D1*
+X10072Y4502D1*
+X10187D1*
+X10264Y4541D1*
+X10340Y4617D1*
+X11365Y4885D2*
+X11710D1*
+X11824Y4923D1*
+X11863Y4962D1*
+X11901Y5038D1*
+Y5153D1*
+X11863Y5229D1*
+X11824Y5267D1*
+X11710Y5306D1*
+X11365D1*
+Y4502D1*
+X12607Y5038D2*
+Y4502D1*
+Y4923D2*
+X12531Y5000D1*
+X12454Y5038D1*
+X12340D1*
+X12263Y5000D1*
+X12187Y4923D1*
+X12148Y4808D1*
+Y4732D1*
+X12187Y4617D1*
+X12263Y4541D1*
+X12340Y4502D1*
+X12454D1*
+X12531Y4541D1*
+X12607Y4617D1*
+X12854Y5306D2*
+X12892Y5267D1*
+X12931Y5306D1*
+X12892Y5344D1*
+X12854Y5306D1*
+X12892Y5038D2*
+Y4502D1*
+X13178Y5038D2*
+Y4502D1*
+Y4885D2*
+X13293Y5000D1*
+X13370Y5038D1*
+X13484D1*
+X13561Y5000D1*
+X13599Y4885D1*
+Y4502D1*
+X13961Y5306D2*
+Y4655D1*
+X13999Y4541D1*
+X14076Y4502D1*
+X14152D1*
+X13846Y5038D2*
+X14114D1*
+X14399Y4808D2*
+X14858D1*
+Y4885D1*
+X14820Y4962D1*
+X14782Y5000D1*
+X14705Y5038D1*
+X14590D1*
+X14514Y5000D1*
+X14437Y4923D1*
+X14399Y4808D1*
+Y4732D1*
+X14437Y4617D1*
+X14514Y4541D1*
+X14590Y4502D1*
+X14705D1*
+X14782Y4541D1*
+X14858Y4617D1*
+X15105Y5038D2*
+Y4502D1*
+Y4808D2*
+X15144Y4923D1*
+X15220Y5000D1*
+X15297Y5038D1*
+X15412D1*
+X16080Y4923D2*
+X16042Y5000D1*
+X15927Y5038D1*
+X15812D1*
+X15697Y5000D1*
+X15659Y4923D1*
+X15697Y4847D1*
+X15774Y4808D1*
+X15965Y4770D1*
+X16042Y4732D1*
+X16080Y4655D1*
+Y4617D1*
+X16042Y4541D1*
+X15927Y4502D1*
+X15812D1*
+X15697Y4541D1*
+X15659Y4617D1*
+X7545Y6931D2*
+X7047D1*
+Y6127D1*
+Y6548D2*
+X7353D1*
+X7792Y6931D2*
+Y6127D1*
+X8060D1*
+X8175Y6166D1*
+X8252Y6242D1*
+X8290Y6319D1*
+X8328Y6433D1*
+Y6625D1*
+X8290Y6740D1*
+X8252Y6816D1*
+X8175Y6893D1*
+X8060Y6931D1*
+X7792D1*
+X8575Y6528D2*
+X9017D1*
+X9264Y6777D2*
+X9341Y6816D1*
+X9456Y6930D1*
+Y6127D1*
+X10480Y6663D2*
+Y6127D1*
+Y6510D2*
+X10595Y6625D1*
+X10672Y6663D1*
+X10786D1*
+X10863Y6625D1*
+X10901Y6510D1*
+Y6127D1*
+Y6510D2*
+X11016Y6625D1*
+X11093Y6663D1*
+X11207D1*
+X11284Y6625D1*
+X11323Y6510D1*
+Y6127D1*
+X11570Y6931D2*
+Y6127D1*
+Y6548D2*
+X11647Y6625D1*
+X11723Y6663D1*
+X11838D1*
+X11914Y6625D1*
+X11991Y6548D1*
+X12029Y6433D1*
+Y6357D1*
+X11991Y6242D1*
+X11914Y6166D1*
+X11838Y6127D1*
+X11723D1*
+X11647Y6166D1*
+X11570Y6242D1*
+X12467Y6663D2*
+X12391Y6625D1*
+X12314Y6548D1*
+X12276Y6433D1*
+Y6357D1*
+X12314Y6242D1*
+X12391Y6166D1*
+X12467Y6127D1*
+X12582D1*
+X12659Y6166D1*
+X12735Y6242D1*
+X12774Y6357D1*
+Y6433D1*
+X12735Y6548D1*
+X12659Y6625D1*
+X12582Y6663D1*
+X12467D1*
+X13480D2*
+Y6127D1*
+Y6548D2*
+X13404Y6625D1*
+X13327Y6663D1*
+X13213D1*
+X13136Y6625D1*
+X13060Y6548D1*
+X13021Y6433D1*
+Y6357D1*
+X13060Y6242D1*
+X13136Y6166D1*
+X13213Y6127D1*
+X13327D1*
+X13404Y6166D1*
+X13480Y6242D1*
+X13727Y6663D2*
+Y6127D1*
+Y6433D2*
+X13766Y6548D1*
+X13842Y6625D1*
+X13919Y6663D1*
+X14034D1*
+X14740Y6931D2*
+Y6127D1*
+Y6548D2*
+X14664Y6625D1*
+X14587Y6663D1*
+X14472D1*
+X14396Y6625D1*
+X14319Y6548D1*
+X14281Y6433D1*
+Y6357D1*
+X14319Y6242D1*
+X14396Y6166D1*
+X14472Y6127D1*
+X14587D1*
+X14664Y6166D1*
+X14740Y6242D1*
+X15765Y6663D2*
+X15994Y6127D1*
+X16224Y6663D1*
+X16471Y6777D2*
+X16547Y6816D1*
+X16662Y6930D1*
+Y6127D1*
+X16948Y6204D2*
+X16909Y6165D1*
+X16948Y6127D1*
+X16986Y6165D1*
+X16948Y6204D1*
+X17463Y6930D2*
+X17348Y6892D1*
+X17271Y6777D1*
+X17233Y6586D1*
+Y6471D1*
+X17271Y6280D1*
+X17348Y6165D1*
+X17463Y6127D1*
+X17539D1*
+X17654Y6165D1*
+X17730Y6280D1*
+X17769Y6471D1*
+Y6586D1*
+X17730Y6777D1*
+X17654Y6892D1*
+X17539Y6930D1*
+X17463D1*
+X17730Y6777D2*
+X17271Y6280D1*
+M02*
diff --git a/gerbonara/tests/resources/diptrace/panel.drl b/gerbonara/tests/resources/diptrace/panel.drl
new file mode 100644
index 0000000..187e492
--- /dev/null
+++ b/gerbonara/tests/resources/diptrace/panel.drl
@@ -0,0 +1,92 @@
+M48
+METRIC,0000.00
+T01C0.6
+T02C0.7
+T03C0.8
+T04C0.9
+T05C1.2
+T06C2.6
+T07C3.2
+%
+T01
+X+004398Y+003842
+X+004207Y+003858
+X+004398Y+003604
+X+004430Y+003001
+X+004303Y+002874
+X+004207Y+002748
+X+003953Y+003001
+X+003699Y+002874
+X+003366Y+002748
+X+003636Y+003858
+T02
+X+001763Y+004080
+X+002017Y+004080
+X+002017Y+003318
+X+001763Y+003318
+X+001763Y+002556
+X+002017Y+002556
+X+002207Y+002238
+X+002715Y+002683
+X+003032Y+002683
+X+003000Y+002238
+X+002921Y+001778
+X+003159Y+001778
+X+002921Y+001460
+X+003159Y+001111
+X+005191Y+001365
+X+005191Y+001867
+X+005636Y+001858
+X+006112Y+002270
+X+006350Y+002667
+X+005858Y+002667
+X+005636Y+002874
+X+006843Y+002270
+T03
+X+005858Y+001381
+X+006108Y+001381
+T04
+X+003239Y+004080
+X+003493Y+004080
+X+003747Y+004080
+X+004001Y+004080
+X+004255Y+004080
+X+004509Y+004080
+X+004763Y+004080
+X+005017Y+004080
+X+005271Y+004080
+X+005271Y+002556
+X+005017Y+002556
+X+004763Y+002556
+X+004509Y+002556
+X+004255Y+002556
+X+004001Y+002556
+X+003747Y+002556
+X+003493Y+002556
+X+003239Y+002556
+X+003763Y+001867
+X+003763Y+001667
+X+003963Y+001667
+X+003963Y+001867
+X+004163Y+001867
+X+004163Y+001667
+X+004363Y+001667
+X+004363Y+001867
+X+004563Y+001867
+X+004563Y+001667
+T05
+X+006604Y+002556
+X+006854Y+002556
+X+007104Y+002556
+X+007104Y+004006
+X+006604Y+004006
+T06
+X+006404Y+003306
+X+007304Y+003306
+T07
+X+001286Y+004239
+X+001286Y+001350
+X+007563Y+001350
+X+007563Y+004239
+T00
+M30
diff --git a/gerbonara/tests/resources/diptrace/panel_BoardOutline.gbr b/gerbonara/tests/resources/diptrace/panel_BoardOutline.gbr
new file mode 100644
index 0000000..514bce0
--- /dev/null
+++ b/gerbonara/tests/resources/diptrace/panel_BoardOutline.gbr
@@ -0,0 +1,19 @@
+G04 DipTrace 2.4.0.2*
+%INpanel_BoardOutline.gbr*%
+%MOMM*%
+%ADD11C,0.14*%
+%FSLAX53Y53*%
+G04*
+G71*
+G90*
+G75*
+G01*
+%LNBoardOutline*%
+%LPD*%
+X10000Y10000D2*
+D11*
+X78428D1*
+Y45246D1*
+X10006Y45250D1*
+X10000Y10000D1*
+M02*
diff --git a/gerbonara/tests/resources/diptrace/panel_Bottom.gbr b/gerbonara/tests/resources/diptrace/panel_Bottom.gbr
new file mode 100644
index 0000000..c9b2c37
--- /dev/null
+++ b/gerbonara/tests/resources/diptrace/panel_Bottom.gbr
@@ -0,0 +1,2814 @@
+G04 DipTrace 2.4.0.2*
+%INpanel_Bottom.gbr*%
+%MOMM*%
+%ADD10C,0.25*%
+%ADD13C,0.4*%
+%ADD14C,0.406*%
+%ADD15C,1.016*%
+%ADD16C,0.762*%
+%ADD17C,0.61*%
+%ADD18C,0.559*%
+%ADD19C,0.66*%
+%ADD20C,0.65*%
+%ADD21C,0.508*%
+%ADD22C,0.635*%
+%ADD23R,1.3X1.5*%
+%ADD24R,1.35X1.35*%
+%ADD25C,1.35*%
+%ADD26C,1.5*%
+%ADD27R,1.5X1.5*%
+%ADD28O,0.391X0.392*%
+%ADD29R,0.65X1.05*%
+%ADD30C,1.7*%
+%ADD31R,1.7X1.7*%
+%ADD32R,1.8X0.4*%
+%ADD33C,1.6*%
+%ADD34R,1.5X1.3*%
+%ADD35O,2.1X2.6*%
+%ADD36R,3.6X3.6*%
+%ADD38C,1.2*%
+%ADD40C,3.2*%
+%ADD45C,1.4*%
+%ADD47C,1.7*%
+%ADD49R,3.8X3.8*%
+%ADD51O,2.3X2.8*%
+%ADD53R,1.7X1.5*%
+%ADD55C,1.8*%
+%ADD56R,2.0X0.6*%
+%ADD58R,1.9X1.9*%
+%ADD59C,1.9*%
+%ADD61R,0.85X1.25*%
+%ADD64C,1.55*%
+%ADD66R,1.55X1.55*%
+%ADD68R,1.5X1.7*%
+%ADD70C,0.157*%
+%FSLAX53Y53*%
+G04*
+G71*
+G90*
+G75*
+G01*
+%LNBottom*%
+%LPD*%
+X23573Y33140D2*
+D13*
+X24677D1*
+D14*
+X25926D1*
+X26516Y32550D1*
+Y27460D1*
+X27147Y26829D1*
+X30322D2*
+Y30331D1*
+X30113Y30540D1*
+D13*
+X29373D1*
+X43628Y18675D2*
+D15*
+Y19976D1*
+X44137Y20485D1*
+X54138D1*
+D16*
+X62558D1*
+X63822Y21749D1*
+Y23336D1*
+X61599Y25559D1*
+Y29211D1*
+X64045Y31656D1*
+Y33065D1*
+X31275Y11111D2*
+D14*
+X31592D1*
+Y17780D2*
+X31275D1*
+X58583Y33820D2*
+D17*
+Y30163D1*
+Y33820D2*
+D18*
+Y32965D1*
+D19*
+Y32069D1*
+X14282Y30163D2*
+Y28412D1*
+X14128Y28258D1*
+X14451Y32232D2*
+D20*
+Y31377D1*
+D19*
+Y30333D1*
+X14282Y30163D1*
+X25881Y36995D2*
+D14*
+Y35090D1*
+X25231Y34440D1*
+X22772D1*
+D13*
+X23573D1*
+X32231Y36995D2*
+D14*
+Y35725D1*
+X31596Y35090D1*
+X29207D1*
+D13*
+X29373D1*
+X36993Y36677D2*
+D14*
+Y36995D1*
+X35088Y35090D1*
+X33183D1*
+X32533Y34440D1*
+X29207D1*
+D13*
+X29373D1*
+X47471Y35407D2*
+D14*
+X46518D1*
+X46042Y34931D1*
+X36517D1*
+X35723Y34137D1*
+X33818D1*
+X33471Y33790D1*
+X29373D1*
+X42391Y33502D2*
+Y33820D1*
+X37311D1*
+X36631Y33140D1*
+X29207D1*
+D13*
+X29373D1*
+Y32490D2*
+X29207D1*
+D14*
+X37688D1*
+X38263Y31915D1*
+X29373Y31840D2*
+D13*
+X29207D1*
+D14*
+X34211D1*
+X35088Y30962D1*
+X29373Y31190D2*
+D13*
+X29207D1*
+D14*
+X31686D1*
+X32231Y30645D1*
+X31913D1*
+X32231Y30327D1*
+X57633Y43264D2*
+D17*
+X55172D1*
+X54777Y43659D1*
+X44135D1*
+X42546Y42070D1*
+Y40801D1*
+X45086D2*
+X44931Y40956D1*
+Y42073D1*
+X45405Y42547D1*
+X53984D1*
+X55091Y41440D1*
+Y36989D1*
+X56042Y36038D1*
+X57633D1*
+Y36120D1*
+X60647Y40646D2*
+X58583D1*
+Y40964D1*
+Y38896D2*
+D18*
+Y40964D1*
+X17626Y40805D2*
+D17*
+Y35725D1*
+Y33185D1*
+Y25565D1*
+X13501Y34532D2*
+X13498Y34535D1*
+Y35407D1*
+X13816Y35725D1*
+X17626D1*
+X66045Y25565D2*
+D16*
+X66006Y25526D1*
+Y20950D1*
+D20*
+Y20044D1*
+X73944D2*
+D19*
+Y22105D1*
+X73189Y22860D1*
+Y26829D1*
+X71760Y28258D1*
+X70808D1*
+X69061Y30005D1*
+Y35720D1*
+X66045Y38737D1*
+Y40065D1*
+X71045Y25565D2*
+D16*
+Y22621D1*
+X69975Y21551D1*
+Y20950D1*
+D20*
+Y20044D1*
+X45628Y16675D2*
+D19*
+X45635Y16668D1*
+X46834D1*
+X47151Y16986D1*
+X64139D1*
+X64897Y17744D1*
+X65056D1*
+X70925D2*
+X72994D1*
+X70925D2*
+Y16786D1*
+X70490Y16351D1*
+X65886D1*
+X65251Y15716D1*
+X48422D1*
+X47151Y14445D1*
+X44611D1*
+X43659Y15398D1*
+Y16645D1*
+X43628Y16675D1*
+X23573Y31840D2*
+D13*
+X24686D1*
+X24928Y31597D1*
+D14*
+X25242Y31284D1*
+Y29370D1*
+X24924Y29052D1*
+Y19208D1*
+X27941Y16192D1*
+X34291D1*
+X35396Y15087D1*
+X38902D1*
+X39533Y15718D1*
+Y16580D1*
+X39628Y16675D1*
+X37628D2*
+X35872D1*
+X31592Y20955D1*
+X29370D1*
+X28417Y21908D1*
+Y27464D1*
+X27151Y28730D1*
+Y33185D1*
+X26546Y33790D1*
+X24677D1*
+D13*
+X23573D1*
+X41628Y18675D2*
+D14*
+Y20040D1*
+X43655Y22066D1*
+X58260D1*
+X58895Y22701D1*
+X60329D1*
+X61123D1*
+X68426D2*
+D16*
+Y25565D1*
+X68545D1*
+X60170Y38746D2*
+D14*
+X60647D1*
+X60329Y22701D2*
+Y36832D1*
+X60647Y37149D1*
+Y38745D1*
+Y38746D1*
+X39628Y18675D2*
+D19*
+Y19786D1*
+X42867Y23025D1*
+X56996D1*
+X58583Y24611D1*
+Y26671D1*
+Y28263D1*
+X71045Y40065D2*
+X68721Y42388D1*
+X64930D1*
+X63981Y41440D1*
+Y38578D1*
+X67632Y34926D1*
+Y30486D1*
+X66198Y29052D1*
+X65092D1*
+X63504Y27464D1*
+Y26671D1*
+X37628Y18675D2*
+D17*
+X36936Y19367D1*
+X34926D1*
+X31910Y22384D1*
+X30005D1*
+X22066D2*
+Y22066D1*
+X21590Y21590D1*
+X16521D1*
+X14128Y23983D1*
+Y26358D1*
+X23573Y32490D2*
+D14*
+X25306D1*
+X25881Y31915D1*
+Y21109D1*
+X29211Y17780D1*
+Y14604D2*
+X29370Y14763D1*
+D21*
+X33974D1*
+X34602Y14135D1*
+X40168D1*
+X41628Y15595D1*
+Y16675D1*
+X38893Y36677D2*
+D17*
+Y37952D1*
+Y36677D2*
+Y38270D1*
+X37466Y39697D1*
+Y40801D1*
+X50166D2*
+Y40007D1*
+X48582Y38423D1*
+X43978D1*
+Y36042D2*
+X40645D1*
+X40010Y36677D1*
+X38893D1*
+X20166Y40805D2*
+X27468D1*
+X30326Y43662D1*
+X35723D1*
+X37466Y41920D1*
+Y40801D1*
+X49371Y35407D2*
+D14*
+Y36990D1*
+X52551Y40170D1*
+Y40801D1*
+X52706D1*
+X49371Y36990D2*
+X45571D1*
+X45248Y37312D1*
+X41438D1*
+X40006Y38745D1*
+Y40801D1*
+Y40650D2*
+Y40801D1*
+X20166Y25565D2*
+D21*
+Y26517D1*
+X18896Y27787D1*
+D14*
+Y41917D1*
+D21*
+X19367Y42388D1*
+X26512D1*
+X28738Y44615D1*
+X37146D1*
+X40006Y41754D1*
+Y40801D1*
+X37626Y25721D2*
+D17*
+X37466Y25561D1*
+Y26672D1*
+X39533Y28740D1*
+Y30010D1*
+X40163Y30640D1*
+Y31915D1*
+X50166Y25561D2*
+X50328Y25723D1*
+Y26517D1*
+X49376Y27470D1*
+X46836D1*
+X44296Y30010D1*
+X34926Y25561D2*
+X35088Y25723D1*
+X34926Y25561D2*
+Y26672D1*
+X35088Y26835D1*
+Y29062D1*
+X44931Y25716D2*
+X45086Y25561D1*
+Y27315D1*
+X43661Y28740D1*
+X43026D1*
+X36993D2*
+X36671Y29062D1*
+X35088D1*
+X44291Y33502D2*
+D14*
+Y31545D1*
+X42973D1*
+X40803Y29375D1*
+Y28102D1*
+X40006Y27305D1*
+Y25561D1*
+X44291Y31545D2*
+X44984D1*
+X47788Y28740D1*
+X50646D1*
+X52706Y26680D1*
+Y25561D1*
+X32386D2*
+D17*
+Y27478D1*
+Y28272D1*
+X32231Y28427D1*
+X32386Y27478D2*
+X33656D1*
+X42065D2*
+X42546Y26997D1*
+Y25561D1*
+X32386Y40801D2*
+Y40015D1*
+X33818Y38582D1*
+X34131D1*
+Y36995D1*
+Y38582D2*
+X36358D1*
+X42073D2*
+D14*
+X43026Y39535D1*
+X47153D1*
+X47471Y39852D1*
+Y40646D1*
+X47626Y40801D1*
+X27781Y36995D2*
+Y39530D1*
+X30643Y42392D1*
+X34136D1*
+X34926Y41602D1*
+Y40801D1*
+X27781Y36995D2*
+Y29380D1*
+X29056Y28105D1*
+Y25238D1*
+X30316Y23977D1*
+X47153D1*
+X47626Y24450D1*
+Y25561D1*
+X20166Y33185D2*
+Y35725D1*
+X23971Y39530D1*
+X27781D1*
+X23573Y35090D2*
+D13*
+X22071D1*
+D14*
+X21753Y34772D1*
+Y31190D1*
+D13*
+X23573D1*
+X45628Y18675D2*
+D14*
+X45723Y18770D1*
+X56683Y33820D2*
+Y29062D1*
+X56361Y28740D1*
+Y18580D2*
+D15*
+X46517D1*
+X45628D1*
+D14*
+Y18675D1*
+X23573Y31190D2*
+D3*
+X21753D2*
+Y30010D1*
+X23813Y27950D1*
+D16*
+Y20161D1*
+D17*
+X16045D1*
+X12223Y23983D1*
+Y30005D1*
+X12551Y30333D1*
+Y32232D1*
+X23813Y20161D2*
+D16*
+Y18706D1*
+Y17155D1*
+X28103Y12865D1*
+X51128D1*
+X51914Y13652D1*
+Y18675D2*
+D14*
+X50897D1*
+D15*
+X45628D1*
+X23813Y17621D2*
+D14*
+Y18706D1*
+X56683Y33820D2*
+Y34297D1*
+X57312Y34926D1*
+X58265D1*
+X58583Y35245D1*
+Y36990D1*
+X58265Y37308D1*
+X57154D1*
+X56677Y37784D1*
+Y38890D1*
+X56683Y38896D1*
+D18*
+Y40964D1*
+X45628Y18675D2*
+D19*
+X46517Y18580D1*
+X56683Y33820D2*
+D20*
+Y32806D1*
+D19*
+Y32069D1*
+X12551Y32232D2*
+D20*
+Y31377D1*
+D19*
+Y30333D1*
+X12382Y30163D1*
+X51914Y13652D2*
+D16*
+X52708Y14445D1*
+X57948D1*
+X58583Y13810D1*
+D26*
+X27147Y26829D3*
+X30322D3*
+X61123Y22701D3*
+X68426D3*
+X63504Y26671D3*
+X58583D3*
+X30005Y22384D3*
+X22066D3*
+X29211Y17780D3*
+Y14604D3*
+D38*
+X43978Y38423D3*
+Y36042D3*
+X44296Y30010D3*
+X39533D3*
+X43026Y28740D3*
+X36993D3*
+X33656Y27478D3*
+X42065D3*
+X36358Y38582D3*
+X42073D3*
+D26*
+X56361Y28740D3*
+Y18580D3*
+X51914Y13652D3*
+Y18675D3*
+X31592Y11111D3*
+Y17780D3*
+X10381Y44297D2*
+D22*
+X11112D1*
+X14613D2*
+X26660D1*
+X39219D2*
+X42942D1*
+X58963D2*
+X73878D1*
+X77378D2*
+X78045D1*
+X10381Y43665D2*
+X10596D1*
+X15129D2*
+X26035D1*
+X39854D2*
+X42317D1*
+X58963D2*
+X73362D1*
+X15387Y43034D2*
+X18256D1*
+X40479D2*
+X41682D1*
+X58963D2*
+X63708D1*
+X69937D2*
+X73104D1*
+X15466Y42402D2*
+X17006D1*
+X41074D2*
+X41283D1*
+X59916D2*
+X63083D1*
+X70572D2*
+X73025D1*
+X15397Y41770D2*
+X16172D1*
+X62406D2*
+X62686D1*
+X72467D2*
+X73104D1*
+X10381Y41139D2*
+X10586D1*
+X15139D2*
+X15905D1*
+X62406D2*
+X62646D1*
+X72924D2*
+X73352D1*
+X10381Y40507D2*
+X11092D1*
+X14633D2*
+X15895D1*
+X62406D2*
+X62646D1*
+X68092D2*
+X68739D1*
+X73092D2*
+X73858D1*
+X77398D2*
+X78045D1*
+X10381Y39875D2*
+X12442D1*
+X13283D2*
+X16153D1*
+X29813D2*
+X30787D1*
+X62406D2*
+X62646D1*
+X68102D2*
+X68987D1*
+X73102D2*
+X75208D1*
+X76049D2*
+X78045D1*
+X10381Y39244D2*
+X16311D1*
+X29178D2*
+X31343D1*
+X62406D2*
+X62646D1*
+X68022D2*
+X69076D1*
+X73013D2*
+X78045D1*
+X10381Y38612D2*
+X16311D1*
+X20109D2*
+X21371D1*
+X29436D2*
+X30579D1*
+X52673D2*
+X53776D1*
+X62406D2*
+X62646D1*
+X68032D2*
+X69393D1*
+X72695D2*
+X78045D1*
+X10381Y37980D2*
+X16311D1*
+X20109D2*
+X20736D1*
+X29436D2*
+X30579D1*
+X52048D2*
+X53776D1*
+X62406D2*
+X62795D1*
+X68667D2*
+X70207D1*
+X71882D2*
+X78045D1*
+X10381Y37349D2*
+X16311D1*
+X23473D2*
+X24229D1*
+X29436D2*
+X30579D1*
+X51413D2*
+X53776D1*
+X62406D2*
+X63351D1*
+X69292D2*
+X78045D1*
+X10381Y36717D2*
+X12997D1*
+X22838D2*
+X24229D1*
+X29436D2*
+X30579D1*
+X51026D2*
+X53806D1*
+X61771D2*
+X63976D1*
+X69927D2*
+X78045D1*
+X10381Y36085D2*
+X12392D1*
+X51026D2*
+X54163D1*
+X61543D2*
+X64611D1*
+X70344D2*
+X78045D1*
+X10381Y35454D2*
+X12174D1*
+X51026D2*
+X54798D1*
+X61543D2*
+X65246D1*
+X70393D2*
+X78045D1*
+X10381Y34822D2*
+X12174D1*
+X51026D2*
+X55354D1*
+X61543D2*
+X65871D1*
+X70393D2*
+X78045D1*
+X10381Y34190D2*
+X12174D1*
+X14831D2*
+X16202D1*
+X51026D2*
+X55354D1*
+X61543D2*
+X66298D1*
+X70393D2*
+X78045D1*
+X10381Y33559D2*
+X11221D1*
+X45946D2*
+X55026D1*
+X61543D2*
+X66298D1*
+X70393D2*
+X78045D1*
+X10381Y32927D2*
+X11221D1*
+X45946D2*
+X55026D1*
+X61543D2*
+X66298D1*
+X70393D2*
+X78045D1*
+X10381Y32295D2*
+X11221D1*
+X15783D2*
+X16119D1*
+X45946D2*
+X55026D1*
+X61543D2*
+X66298D1*
+X70393D2*
+X78045D1*
+X10381Y31664D2*
+X10725D1*
+X15942D2*
+X16311D1*
+X46551D2*
+X55026D1*
+X61543D2*
+X66298D1*
+X70393D2*
+X78045D1*
+X10381Y31032D2*
+X10725D1*
+X15942D2*
+X16311D1*
+X20109D2*
+X20548D1*
+X47176D2*
+X55026D1*
+X61543D2*
+X66298D1*
+X70393D2*
+X78045D1*
+X10381Y30400D2*
+X10725D1*
+X15942D2*
+X16311D1*
+X20109D2*
+X20548D1*
+X47811D2*
+X55026D1*
+X61543D2*
+X65683D1*
+X70532D2*
+X78045D1*
+X10381Y29769D2*
+X10725D1*
+X15942D2*
+X16311D1*
+X20109D2*
+X20568D1*
+X29079D2*
+X30480D1*
+X51214D2*
+X54957D1*
+X61543D2*
+X63946D1*
+X71157D2*
+X78045D1*
+X10381Y29137D2*
+X10725D1*
+X15942D2*
+X16311D1*
+X20109D2*
+X20945D1*
+X29704D2*
+X30480D1*
+X51929D2*
+X54649D1*
+X61543D2*
+X63311D1*
+X72745D2*
+X78045D1*
+X10381Y28505D2*
+X10725D1*
+X15942D2*
+X16311D1*
+X20109D2*
+X21570D1*
+X30190D2*
+X30470D1*
+X52564D2*
+X54620D1*
+X61543D2*
+X62686D1*
+X67516D2*
+X68699D1*
+X73380D2*
+X78045D1*
+X10381Y27874D2*
+X10914D1*
+X15883D2*
+X16311D1*
+X20566D2*
+X22205D1*
+X30269D2*
+X30473D1*
+X53199D2*
+X54848D1*
+X61543D2*
+X62240D1*
+X66742D2*
+X69324D1*
+X74005D2*
+X78045D1*
+X10381Y27242D2*
+X10914D1*
+X15883D2*
+X16311D1*
+X21925D2*
+X22423D1*
+X30269D2*
+X30473D1*
+X53764D2*
+X55513D1*
+X61543D2*
+X61850D1*
+X74461D2*
+X78045D1*
+X10381Y26610D2*
+X10914D1*
+X15883D2*
+X16228D1*
+X21925D2*
+X22423D1*
+X30269D2*
+X30527D1*
+X54221D2*
+X56832D1*
+X61543D2*
+X61757D1*
+X74521D2*
+X78045D1*
+X10381Y25979D2*
+X10914D1*
+X21925D2*
+X22423D1*
+X30269D2*
+X30527D1*
+X54509D2*
+X56971D1*
+X61543D2*
+X61905D1*
+X74521D2*
+X78045D1*
+X10381Y25347D2*
+X10914D1*
+X21925D2*
+X22423D1*
+X54548D2*
+X57249D1*
+X61543D2*
+X62389D1*
+X74521D2*
+X78045D1*
+X10381Y24715D2*
+X10914D1*
+X15436D2*
+X16103D1*
+X21925D2*
+X22423D1*
+X54350D2*
+X56822D1*
+X61543D2*
+X64085D1*
+X74521D2*
+X78045D1*
+X10381Y24084D2*
+X10914D1*
+X15853D2*
+X16748D1*
+X21925D2*
+X22423D1*
+X62158D2*
+X64413D1*
+X74521D2*
+X78045D1*
+X10381Y23452D2*
+X11033D1*
+X16488D2*
+X20687D1*
+X62704D2*
+X64621D1*
+X74521D2*
+X78045D1*
+X10381Y22820D2*
+X11559D1*
+X33306D2*
+X40799D1*
+X62873D2*
+X64621D1*
+X75057D2*
+X78045D1*
+X10381Y22189D2*
+X12194D1*
+X33931D2*
+X40164D1*
+X62803D2*
+X64621D1*
+X75275D2*
+X78045D1*
+X10381Y21557D2*
+X12819D1*
+X34566D2*
+X39538D1*
+X62436D2*
+X64621D1*
+X71912D2*
+X72608D1*
+X75285D2*
+X78045D1*
+X10381Y20925D2*
+X13454D1*
+X35201D2*
+X38903D1*
+X58428D2*
+X64621D1*
+X67397D2*
+X68590D1*
+X71366D2*
+X72608D1*
+X75285D2*
+X78045D1*
+X10381Y20294D2*
+X14089D1*
+X43565D2*
+X44916D1*
+X46333D2*
+X51355D1*
+X52475D2*
+X64671D1*
+X67338D2*
+X68639D1*
+X71306D2*
+X72608D1*
+X75285D2*
+X78045D1*
+X10381Y19662D2*
+X14714D1*
+X29009D2*
+X31204D1*
+X43128D2*
+X44132D1*
+X57723D2*
+X64671D1*
+X67338D2*
+X68639D1*
+X71306D2*
+X72618D1*
+X75275D2*
+X78045D1*
+X10381Y19030D2*
+X15458D1*
+X30418D2*
+X31829D1*
+X43396D2*
+X43854D1*
+X58061D2*
+X63728D1*
+X76228D2*
+X78045D1*
+X10381Y18399D2*
+X22155D1*
+X30845D2*
+X32464D1*
+X58110D2*
+X63688D1*
+X76228D2*
+X78045D1*
+X10381Y17767D2*
+X22155D1*
+X30964D2*
+X33099D1*
+X76228D2*
+X78045D1*
+X10381Y17135D2*
+X22155D1*
+X76228D2*
+X78045D1*
+X10381Y16504D2*
+X22155D1*
+X76228D2*
+X78045D1*
+X10381Y15872D2*
+X11886D1*
+X13839D2*
+X23157D1*
+X71872D2*
+X74652D1*
+X76605D2*
+X78045D1*
+X10381Y15240D2*
+X10944D1*
+X14781D2*
+X23792D1*
+X71177D2*
+X73709D1*
+X77547D2*
+X78045D1*
+X15218Y14609D2*
+X24427D1*
+X65939D2*
+X73273D1*
+X15426Y13977D2*
+X25052D1*
+X60263D2*
+X73064D1*
+X15466Y13345D2*
+X25687D1*
+X60263D2*
+X73025D1*
+X15347Y12714D2*
+X26322D1*
+X53387D2*
+X56902D1*
+X60263D2*
+X73144D1*
+X10381Y12082D2*
+X10686D1*
+X15039D2*
+X26948D1*
+X52613D2*
+X73451D1*
+X77805D2*
+X78044D1*
+X10381Y11450D2*
+X11291D1*
+X14434D2*
+X74057D1*
+X77200D2*
+X78045D1*
+X10381Y10819D2*
+X78045D1*
+X23173Y15928D2*
+X22220D1*
+Y18914D1*
+X16045Y18913D1*
+X15731Y18954D1*
+X15437Y19072D1*
+X15162Y19279D1*
+X11340Y23101D1*
+X11147Y23351D1*
+X11022Y23642D1*
+X10975Y23983D1*
+Y28478D1*
+X10789Y28470D1*
+Y31856D1*
+X11275D1*
+X11283Y32987D1*
+Y33700D1*
+X12226D1*
+X12233Y36000D1*
+X12409Y36015D1*
+X12616Y36290D1*
+X12934Y36607D1*
+X13169Y36791D1*
+X13441Y36915D1*
+X13706Y36968D1*
+X16386Y36972D1*
+X16378Y38265D1*
+Y39664D1*
+X16153Y39970D1*
+X16024Y40259D1*
+X15950Y40567D1*
+X15935Y40884D1*
+X15979Y41198D1*
+X16081Y41498D1*
+X16238Y41774D1*
+X16443Y42016D1*
+X16689Y42215D1*
+X16969Y42365D1*
+X17271Y42460D1*
+X17586Y42497D1*
+X17833Y42480D1*
+X18050Y42763D1*
+X18521Y43235D1*
+X18772Y43427D1*
+X19065Y43547D1*
+X19367Y43585D1*
+X26025D1*
+X27356Y44926D1*
+X13033Y44929D1*
+X13571Y44835D1*
+X13870Y44728D1*
+X14153Y44584D1*
+X14415Y44407D1*
+X14654Y44198D1*
+X14865Y43961D1*
+X15045Y43699D1*
+X15191Y43417D1*
+X15300Y43119D1*
+X15372Y42810D1*
+X15406Y42392D1*
+X15387Y42076D1*
+X15327Y41764D1*
+X15230Y41462D1*
+X15096Y41174D1*
+X14927Y40906D1*
+X14726Y40661D1*
+X14495Y40442D1*
+X14240Y40254D1*
+X13963Y40099D1*
+X13669Y39980D1*
+X13362Y39899D1*
+X13048Y39856D1*
+X12730Y39853D1*
+X12415Y39889D1*
+X12107Y39964D1*
+X11811Y40078D1*
+X11530Y40227D1*
+X11271Y40410D1*
+X11037Y40623D1*
+X10831Y40865D1*
+X10656Y41130D1*
+X10516Y41414D1*
+X10413Y41714D1*
+X10347Y42025D1*
+X10321Y42341D1*
+X10334Y42658D1*
+X10387Y42971D1*
+X10479Y43275D1*
+X10607Y43565D1*
+X10771Y43837D1*
+X10967Y44086D1*
+X11193Y44309D1*
+X11444Y44502D1*
+X11718Y44663D1*
+X12010Y44788D1*
+X12315Y44875D1*
+X12797Y44929D1*
+X10318D1*
+Y10318D1*
+X78111D1*
+Y12947D1*
+X77996Y12569D1*
+X77861Y12282D1*
+X77692Y12013D1*
+X77491Y11768D1*
+X77261Y11550D1*
+X77005Y11362D1*
+X76728Y11207D1*
+X76434Y11088D1*
+X76128Y11006D1*
+X75813Y10964D1*
+X75496Y10960D1*
+X75181Y10997D1*
+X74872Y11072D1*
+X74576Y11185D1*
+X74296Y11334D1*
+X74037Y11517D1*
+X73802Y11731D1*
+X73596Y11972D1*
+X73422Y12237D1*
+X73282Y12522D1*
+X73178Y12822D1*
+X73113Y13132D1*
+X73087Y13449D1*
+X73100Y13766D1*
+X73153Y14078D1*
+X73244Y14382D1*
+X73373Y14672D1*
+X73536Y14944D1*
+X73732Y15194D1*
+X73958Y15417D1*
+X74210Y15610D1*
+X74484Y15770D1*
+X74775Y15895D1*
+X75080Y15983D1*
+X75394Y16032D1*
+X75711Y16041D1*
+X76027Y16011D1*
+X76336Y15942D1*
+X76635Y15835D1*
+X76918Y15692D1*
+X77181Y15514D1*
+X77420Y15305D1*
+X77631Y15068D1*
+X77810Y14807D1*
+X77956Y14525D1*
+X78113Y14024D1*
+X78111Y41839D1*
+X77996Y41462D1*
+X77861Y41175D1*
+X77692Y40906D1*
+X77491Y40661D1*
+X77261Y40443D1*
+X77005Y40255D1*
+X76728Y40100D1*
+X76434Y39981D1*
+X76128Y39899D1*
+X75813Y39857D1*
+X75496Y39853D1*
+X75181Y39890D1*
+X74872Y39965D1*
+X74576Y40078D1*
+X74296Y40227D1*
+X74037Y40410D1*
+X73802Y40624D1*
+X73596Y40865D1*
+X73422Y41130D1*
+X73282Y41415D1*
+X73178Y41715D1*
+X73113Y42025D1*
+X73087Y42342D1*
+X73100Y42659D1*
+X73153Y42971D1*
+X73244Y43275D1*
+X73373Y43565D1*
+X73536Y43837D1*
+X73732Y44087D1*
+X73958Y44310D1*
+X74210Y44503D1*
+X74484Y44663D1*
+X74775Y44788D1*
+X75080Y44876D1*
+X75542Y44929D1*
+X38533D1*
+X40852Y42600D1*
+X41066Y42307D1*
+X41321Y42304D1*
+X41419Y42605D1*
+X41664Y42952D1*
+X43253Y44541D1*
+X43503Y44734D1*
+X43794Y44859D1*
+X44135Y44906D1*
+X54777D1*
+X55091Y44866D1*
+X55385Y44748D1*
+X55694Y44506D1*
+X56373Y44511D1*
+X56366Y44731D1*
+X58901D1*
+X58903Y42431D1*
+X59851D1*
+Y42243D1*
+X62340Y42239D1*
+X62330Y39053D1*
+X62340Y38434D1*
+Y37153D1*
+X61793Y37149D1*
+X61749Y36836D1*
+X61475Y36197D1*
+Y24360D1*
+X61706Y24291D1*
+X61992Y24154D1*
+X62247Y23967D1*
+X62464Y23735D1*
+X62633Y23467D1*
+X62749Y23172D1*
+X62816Y22701D1*
+X62786Y22386D1*
+X62698Y22081D1*
+X62555Y21798D1*
+X62361Y21547D1*
+X62124Y21336D1*
+X61852Y21174D1*
+X61555Y21064D1*
+X61242Y21013D1*
+X60925Y21020D1*
+X60615Y21086D1*
+X60323Y21209D1*
+X60059Y21385D1*
+X59881Y21558D1*
+X59367Y21555D1*
+X59070Y21256D1*
+X58818Y21065D1*
+X58513Y20950D1*
+X58260Y20920D1*
+X44121D1*
+X42985Y19776D1*
+X43195Y19439D1*
+X43307Y19142D1*
+X43371Y18675D1*
+X43354Y18396D1*
+X43670Y18417D1*
+X43916Y18389D1*
+X43887Y18597D1*
+X43902Y18914D1*
+X43974Y19222D1*
+X44100Y19513D1*
+X44278Y19776D1*
+X44499Y20003D1*
+X44759Y20185D1*
+X45047Y20318D1*
+X45354Y20396D1*
+X45670Y20417D1*
+X45985Y20381D1*
+X46288Y20288D1*
+X46593Y20123D1*
+X50897Y20126D1*
+X51025Y20112D1*
+X51257Y20235D1*
+X51559Y20330D1*
+X51874Y20367D1*
+X52191Y20345D1*
+X52497Y20264D1*
+X52783Y20128D1*
+X52919Y20028D1*
+X55496Y20031D1*
+X55704Y20140D1*
+X56006Y20235D1*
+X56321Y20272D1*
+X56637Y20250D1*
+X56944Y20169D1*
+X57230Y20033D1*
+X57485Y19845D1*
+X57702Y19613D1*
+X57871Y19345D1*
+X57987Y19050D1*
+X58054Y18580D1*
+X58021Y18255D1*
+X63612Y18259D1*
+X63793Y18440D1*
+X63788Y19211D1*
+X64731D1*
+X64738Y20570D1*
+X64682Y20950D1*
+Y23857D1*
+X64392Y24202D1*
+X64236Y24478D1*
+X64126Y24776D1*
+X64067Y25077D1*
+X63623Y24982D1*
+X63307Y24989D1*
+X62997Y25056D1*
+X62704Y25179D1*
+X62440Y25354D1*
+X62213Y25575D1*
+X62032Y25835D1*
+X61902Y26125D1*
+X61828Y26433D1*
+X61813Y26750D1*
+X61858Y27063D1*
+X61960Y27364D1*
+X62116Y27639D1*
+X62289Y27843D1*
+X62481Y28221D1*
+X63053Y28814D1*
+X64192Y29952D1*
+X64442Y30147D1*
+X64732Y30273D1*
+X65092Y30325D1*
+X65677D1*
+X66357Y31012D1*
+X66359Y34405D1*
+X63081Y37678D1*
+X62886Y37928D1*
+X62760Y38218D1*
+X62708Y38578D1*
+Y41440D1*
+X62747Y41754D1*
+X62863Y42049D1*
+X63081Y42340D1*
+X64029Y43289D1*
+X64279Y43483D1*
+X64570Y43610D1*
+X64930Y43662D1*
+X68721D1*
+X69035Y43622D1*
+X69330Y43506D1*
+X69621Y43289D1*
+X70639Y42271D1*
+X71178Y42303D1*
+X71492Y42257D1*
+X71794Y42161D1*
+X72078Y42019D1*
+X72335Y41833D1*
+X72560Y41609D1*
+X72746Y41353D1*
+X72889Y41070D1*
+X72986Y40768D1*
+X73038Y40315D1*
+X73028Y39815D1*
+X73012Y39499D1*
+X72937Y39190D1*
+X72814Y38898D1*
+X72646Y38629D1*
+X72438Y38390D1*
+X72194Y38187D1*
+X71922Y38025D1*
+X71627Y37909D1*
+X71317Y37841D1*
+X71000Y37822D1*
+X70685Y37855D1*
+X70378Y37937D1*
+X70089Y38066D1*
+X69823Y38240D1*
+X69589Y38454D1*
+X69392Y38702D1*
+X69236Y38978D1*
+X69126Y39276D1*
+X69065Y39587D1*
+X69052Y40252D1*
+X68187Y41122D1*
+X67878Y41115D1*
+X67986Y40768D1*
+X68038Y40315D1*
+X68028Y39815D1*
+X68012Y39499D1*
+X67937Y39190D1*
+X67767Y38822D1*
+X69961Y36620D1*
+X70156Y36370D1*
+X70282Y36080D1*
+X70334Y35720D1*
+Y30531D1*
+X71330Y29537D1*
+X71760Y29531D1*
+X72074Y29492D1*
+X72369Y29376D1*
+X72660Y29158D1*
+X74089Y27730D1*
+X74284Y27479D1*
+X74410Y27189D1*
+X74462Y26829D1*
+Y23386D1*
+X74845Y23005D1*
+X75039Y22755D1*
+X75166Y22465D1*
+X75217Y22105D1*
+X75214Y19211D1*
+X76162D1*
+Y16276D1*
+X73626Y16286D1*
+X72992Y16276D1*
+X72084D1*
+X72043Y16177D1*
+X71825Y15886D1*
+X71390Y15450D1*
+X71140Y15256D1*
+X70850Y15130D1*
+X70490Y15078D1*
+X66412D1*
+X66151Y14815D1*
+X65901Y14621D1*
+X65611Y14494D1*
+X65251Y14443D1*
+X60201D1*
+Y12193D1*
+X56965D1*
+Y13115D1*
+X53512Y13122D1*
+X53346Y12749D1*
+X53153Y12497D1*
+X52916Y12287D1*
+X52644Y12124D1*
+X52346Y12015D1*
+X52102Y11974D1*
+X51815Y11733D1*
+X51526Y11602D1*
+X51128Y11541D1*
+X28103D1*
+X27789Y11579D1*
+X27493Y11690D1*
+X27167Y11929D1*
+X23161Y15935D1*
+X21859Y39551D2*
+Y39112D1*
+X20043D1*
+X20042Y37224D1*
+X22379Y39559D1*
+X21863Y39557D1*
+X16385Y32041D2*
+X16153Y32350D1*
+X16024Y32639D1*
+X15950Y32947D1*
+X15935Y33264D1*
+X15979Y33578D1*
+X16081Y33878D1*
+X16238Y34154D1*
+X16372Y34312D1*
+X16378Y34485D1*
+X15086Y34477D1*
+X14778D1*
+X14771Y33700D1*
+X15719D1*
+Y31865D1*
+X15874Y31856D1*
+Y28470D1*
+X15825D1*
+X15821Y26665D1*
+Y24765D1*
+X15374D1*
+X15459Y24416D1*
+X17038Y22837D1*
+X20440Y22838D1*
+X20522Y23077D1*
+X20678Y23353D1*
+X20883Y23595D1*
+X21130Y23794D1*
+X21278Y23874D1*
+X18473Y23872D1*
+Y24100D1*
+X18058Y23928D1*
+X17745Y23876D1*
+X17428Y23883D1*
+X17118Y23950D1*
+X16826Y24073D1*
+X16562Y24248D1*
+X16335Y24470D1*
+X16153Y24730D1*
+X16024Y25019D1*
+X15950Y25327D1*
+X15935Y25644D1*
+X15979Y25958D1*
+X16081Y26258D1*
+X16238Y26534D1*
+X16372Y26692D1*
+X16378Y30962D1*
+Y32044D1*
+X20599Y31492D2*
+X20043D1*
+X20042Y28334D1*
+X21044Y27329D1*
+X21331Y27258D1*
+X21859D1*
+Y24063D1*
+X22026Y24076D1*
+X22342Y24054D1*
+X22486Y24016D1*
+X22489Y27652D1*
+X20943Y29199D1*
+X20752Y29452D1*
+X20637Y29757D1*
+X20607Y30010D1*
+Y31497D1*
+X67266Y19211D2*
+X68224Y19202D1*
+X68075Y19211D1*
+X68700D1*
+X68707Y20570D1*
+X68651Y20950D1*
+Y21019D1*
+X68228Y21020D1*
+X67918Y21086D1*
+X67626Y21209D1*
+X67326Y21419D1*
+X67330Y20950D1*
+X67274Y20559D1*
+Y19219D1*
+X71235Y19211D2*
+X72193Y19202D1*
+X72044Y19211D1*
+X72669D1*
+X72671Y21581D1*
+X72283Y21968D1*
+X72219Y22010D1*
+X71981Y21685D1*
+X71300Y21004D1*
+X71244Y20589D1*
+X71243Y19219D1*
+X31324Y39365D2*
+X31189Y39466D1*
+X30972Y39698D1*
+X30800Y39964D1*
+X30678Y40257D1*
+X30609Y40566D1*
+X30601Y40735D1*
+X28921Y39049D1*
+X28927Y38686D1*
+X29374Y38688D1*
+Y36237D1*
+X30645Y36236D1*
+X30638Y37524D1*
+Y38688D1*
+X31958D1*
+X31498Y39140D1*
+X31350Y39322D1*
+X53848Y39420D2*
+X53579Y39234D1*
+X53289Y39105D1*
+X53049Y39046D1*
+X50969Y36967D1*
+X50964Y33714D1*
+X47778Y33724D1*
+X47159Y33714D1*
+X45884D1*
+Y32268D1*
+X48257Y29893D1*
+X50646Y29886D1*
+X50959Y29842D1*
+X51257Y29708D1*
+X51456Y29550D1*
+X53517Y27490D1*
+X53711Y27228D1*
+X53776Y27086D1*
+X54169Y26597D1*
+X54329Y26323D1*
+X54438Y26025D1*
+X54499Y25561D1*
+X54471Y25245D1*
+X54388Y24939D1*
+X54252Y24652D1*
+X54068Y24394D1*
+X53980Y24298D1*
+X56470D1*
+X57309Y25139D1*
+X57310Y25554D1*
+X57110Y25835D1*
+X56980Y26125D1*
+X56906Y26433D1*
+X56890Y26988D1*
+Y27137D1*
+X56480Y27051D1*
+X56163Y27058D1*
+X55853Y27125D1*
+X55561Y27248D1*
+X55297Y27423D1*
+X55070Y27645D1*
+X54888Y27905D1*
+X54759Y28194D1*
+X54685Y28502D1*
+X54670Y28819D1*
+X54714Y29133D1*
+X54816Y29433D1*
+X54973Y29709D1*
+X55178Y29951D1*
+X55424Y30150D1*
+X55538Y30211D1*
+X55537Y30382D1*
+X55090Y30376D1*
+Y33761D1*
+X55417D1*
+X55416Y34961D1*
+X55160Y35155D1*
+X54209Y36107D1*
+X54015Y36357D1*
+X53891Y36649D1*
+X53843Y36989D1*
+Y39420D1*
+X30593Y25326D2*
+Y26834D1*
+X30538D1*
+X30548Y30020D1*
+X30538Y30004D1*
+Y30034D1*
+X29207Y30044D1*
+X28924Y30047D1*
+X28927Y29861D1*
+X29866Y28915D1*
+X30057Y28663D1*
+X30172Y28358D1*
+X30202Y28105D1*
+Y25714D1*
+X30589Y25325D1*
+X37621Y20414D2*
+X37985Y20381D1*
+X38288Y20288D1*
+X38438Y20210D1*
+X38605Y20543D1*
+X39177Y21135D1*
+X40868Y22826D1*
+X36041Y22831D1*
+X33241Y22817D1*
+X35437Y20621D1*
+X36936Y20615D1*
+X37250Y20575D1*
+X37471Y20495D1*
+X37479Y20489D1*
+X66306Y27784D2*
+X66492Y27757D1*
+X66794Y27661D1*
+X67078Y27519D1*
+X67295Y27362D1*
+X67469Y27492D1*
+X67749Y27642D1*
+X68049Y27745D1*
+X68361Y27799D1*
+X68678Y27803D1*
+X68992Y27757D1*
+X69294Y27661D1*
+X69578Y27519D1*
+X69795Y27362D1*
+X69683Y27582D1*
+X68155Y29112D1*
+X68084Y29137D1*
+X67098Y28152D1*
+X66848Y27957D1*
+X66548Y27829D1*
+X66692Y27879D1*
+X66381Y27793D1*
+X15387Y13183D2*
+X15327Y12871D1*
+X15230Y12569D1*
+X15096Y12282D1*
+X14927Y12013D1*
+X14726Y11768D1*
+X14495Y11550D1*
+X14240Y11362D1*
+X13963Y11207D1*
+X13669Y11088D1*
+X13362Y11006D1*
+X13048Y10964D1*
+X12730Y10960D1*
+X12415Y10997D1*
+X12107Y11072D1*
+X11811Y11185D1*
+X11530Y11334D1*
+X11271Y11517D1*
+X11037Y11731D1*
+X10831Y11972D1*
+X10656Y12237D1*
+X10516Y12522D1*
+X10413Y12822D1*
+X10347Y13132D1*
+X10321Y13449D1*
+X10334Y13766D1*
+X10387Y14078D1*
+X10479Y14382D1*
+X10607Y14672D1*
+X10771Y14944D1*
+X10967Y15194D1*
+X11193Y15417D1*
+X11444Y15610D1*
+X11718Y15770D1*
+X12010Y15895D1*
+X12315Y15983D1*
+X12628Y16032D1*
+X12945Y16041D1*
+X13261Y16011D1*
+X13571Y15942D1*
+X13870Y15835D1*
+X14153Y15692D1*
+X14415Y15514D1*
+X14654Y15305D1*
+X14865Y15068D1*
+X15045Y14807D1*
+X15191Y14525D1*
+X15300Y14227D1*
+X15372Y13918D1*
+X15406Y13500D1*
+X15387Y13183D1*
+X75760Y44930D2*
+X76027Y44904D1*
+X76336Y44835D1*
+X76635Y44728D1*
+X76918Y44585D1*
+X77181Y44407D1*
+X77420Y44198D1*
+X77631Y43961D1*
+X77810Y43700D1*
+X77956Y43418D1*
+X78113Y42917D1*
+X78111Y44929D1*
+X75819D1*
+X30848Y17338D2*
+X33586D1*
+X31112Y19814D1*
+X29370Y19809D1*
+X29056Y19853D1*
+X28759Y19987D1*
+X28712Y19899D1*
+X29140Y19471D1*
+X29487Y19450D1*
+X29794Y19369D1*
+X30080Y19233D1*
+X30335Y19045D1*
+X30552Y18813D1*
+X30721Y18545D1*
+X30837Y18250D1*
+X30904Y17780D1*
+X30874Y17464D1*
+X30838Y17340D1*
+X28335Y20369D2*
+X27607Y21097D1*
+X27416Y21350D1*
+X27300Y21655D1*
+X27271Y21908D1*
+Y26997D1*
+X27024Y27236D1*
+X27027Y21586D1*
+X28712Y19899D1*
+X22299Y36233D2*
+X24280D1*
+X24288Y37524D1*
+Y38229D1*
+X22291Y36229D1*
+X23636Y36233D1*
+D23*
+X21913Y17621D3*
+X23813D3*
+D24*
+X58583Y13810D3*
+D25*
+X61083D3*
+D26*
+X17626Y40805D3*
+D27*
+X20166D3*
+D26*
+X17626Y33185D3*
+D27*
+X20166D3*
+D26*
+X17626Y25565D3*
+D27*
+X20166D3*
+D29*
+X66956Y17744D3*
+X65056D3*
+X66006Y20044D3*
+X70925Y17744D3*
+X69025D3*
+X69975Y20044D3*
+X74894Y17744D3*
+X72994D3*
+X73944Y20044D3*
+D30*
+X32386Y40801D3*
+X34926Y25561D3*
+X37466D3*
+X42546D3*
+X45086D3*
+X47626D3*
+X50166D3*
+X52706Y40801D3*
+Y25561D3*
+X40006D3*
+X34926Y40801D3*
+X40006D3*
+X42546D3*
+X45086D3*
+X47626D3*
+X50166D3*
+X37466D3*
+D31*
+X32386Y25561D3*
+D32*
+X29373Y35090D3*
+Y34440D3*
+Y33790D3*
+Y33140D3*
+Y32490D3*
+Y31840D3*
+Y31190D3*
+Y30540D3*
+X23573D3*
+Y31190D3*
+Y31840D3*
+Y32490D3*
+Y33140D3*
+Y33790D3*
+Y34440D3*
+Y35090D3*
+D27*
+X37628Y16675D3*
+D33*
+Y18675D3*
+X39628Y16675D3*
+Y18675D3*
+X41628D3*
+Y16675D3*
+X43628D3*
+Y18675D3*
+X45628Y16675D3*
+Y18675D3*
+D29*
+X58583Y40964D3*
+X56683D3*
+X57633Y43264D3*
+X58583Y33820D3*
+X56683D3*
+X57633Y36120D3*
+X14451Y32232D3*
+X12551D3*
+X13501Y34532D3*
+D23*
+X25881Y36995D3*
+X27781D3*
+X32231D3*
+X34131D3*
+X36993Y36677D3*
+X38893D3*
+X47471Y35407D3*
+X49371D3*
+X42391Y33502D3*
+X44291D3*
+X38263Y31915D3*
+X40163D3*
+D34*
+X35088Y30962D3*
+Y29062D3*
+X32231Y30327D3*
+Y28427D3*
+X60647Y40646D3*
+Y38746D3*
+X58583Y30163D3*
+Y28263D3*
+X14128Y28258D3*
+Y26358D3*
+D23*
+X58583Y38896D3*
+X56683D3*
+X58583Y32069D3*
+X56683D3*
+X14282Y30163D3*
+X12382D3*
+D35*
+X66045Y25565D3*
+X68545D3*
+X71045D3*
+X66045Y40065D3*
+X71045D3*
+D36*
+X64045Y33065D3*
+X73045D3*
+%LNBotMask*%
+D47*
+X27147Y26829D3*
+X30322D3*
+X61123Y22701D3*
+X68426D3*
+X63504Y26671D3*
+X58583D3*
+X30005Y22384D3*
+X22066D3*
+X29211Y17780D3*
+Y14604D3*
+D45*
+X43978Y38423D3*
+Y36042D3*
+X44296Y30010D3*
+X39533D3*
+X43026Y28740D3*
+X36993D3*
+X33656Y27478D3*
+X42065D3*
+X36358Y38582D3*
+X42073D3*
+D47*
+X56361Y28740D3*
+Y18580D3*
+X51914Y13652D3*
+Y18675D3*
+X31592Y11111D3*
+Y17780D3*
+D68*
+X21913Y17621D3*
+X23813D3*
+D66*
+X58583Y13810D3*
+D64*
+X61083D3*
+D47*
+X17626Y40805D3*
+D31*
+X20166D3*
+D47*
+X17626Y33185D3*
+D31*
+X20166D3*
+D47*
+X17626Y25565D3*
+D31*
+X20166D3*
+D61*
+X66956Y17744D3*
+X65056D3*
+X66006Y20044D3*
+X70925Y17744D3*
+X69025D3*
+X69975Y20044D3*
+X74894Y17744D3*
+X72994D3*
+X73944Y20044D3*
+D59*
+X32386Y40801D3*
+X34926Y25561D3*
+X37466D3*
+X42546D3*
+X45086D3*
+X47626D3*
+X50166D3*
+X52706Y40801D3*
+Y25561D3*
+X40006D3*
+X34926Y40801D3*
+X40006D3*
+X42546D3*
+X45086D3*
+X47626D3*
+X50166D3*
+X37466D3*
+D58*
+X32386Y25561D3*
+D56*
+X29373Y35090D3*
+Y34440D3*
+Y33790D3*
+Y33140D3*
+Y32490D3*
+Y31840D3*
+Y31190D3*
+Y30540D3*
+X23573D3*
+Y31190D3*
+Y31840D3*
+Y32490D3*
+Y33140D3*
+Y33790D3*
+Y34440D3*
+Y35090D3*
+D31*
+X37628Y16675D3*
+D55*
+Y18675D3*
+X39628Y16675D3*
+Y18675D3*
+X41628D3*
+Y16675D3*
+X43628D3*
+Y18675D3*
+X45628Y16675D3*
+Y18675D3*
+D61*
+X58583Y40964D3*
+X56683D3*
+X57633Y43264D3*
+X58583Y33820D3*
+X56683D3*
+X57633Y36120D3*
+X14451Y32232D3*
+X12551D3*
+X13501Y34532D3*
+D68*
+X25881Y36995D3*
+X27781D3*
+X32231D3*
+X34131D3*
+X36993Y36677D3*
+X38893D3*
+X47471Y35407D3*
+X49371D3*
+X42391Y33502D3*
+X44291D3*
+X38263Y31915D3*
+X40163D3*
+D53*
+X35088Y30962D3*
+Y29062D3*
+X32231Y30327D3*
+Y28427D3*
+X60647Y40646D3*
+Y38746D3*
+X58583Y30163D3*
+Y28263D3*
+X14128Y28258D3*
+Y26358D3*
+D68*
+X58583Y38896D3*
+X56683D3*
+X58583Y32069D3*
+X56683D3*
+X14282Y30163D3*
+X12382D3*
+D51*
+X66045Y25565D3*
+X68545D3*
+X71045D3*
+X66045Y40065D3*
+X71045D3*
+D49*
+X64045Y33065D3*
+X73045D3*
+D40*
+X12863Y42392D3*
+Y13500D3*
+X75629D3*
+Y42393D3*
+%LNBotSilk*%
+X20862Y18270D2*
+D10*
+Y16971D1*
+D28*
+X67740Y17370D3*
+X67506Y18644D2*
+D10*
+X64506D1*
+Y19144D1*
+X67506D1*
+Y18644D1*
+D28*
+X71709Y17370D3*
+X71475Y18644D2*
+D10*
+X68475D1*
+Y19144D1*
+X71475D1*
+Y18644D1*
+D28*
+X75678Y17370D3*
+X75444Y18644D2*
+D10*
+X72444D1*
+Y19144D1*
+X75444D1*
+Y18644D1*
+X28073Y35515D2*
+Y30115D1*
+X24873Y35515D2*
+Y30115D1*
+X28073D2*
+X24873D1*
+X28073Y35515D2*
+X26973D1*
+X25973D2*
+X24873D1*
+X26973D2*
+G02X25973Y35515I-500J1D01*
+G01*
+D28*
+X59367Y40590D3*
+X59133Y41864D2*
+D10*
+X56133D1*
+Y42364D1*
+X59133D1*
+Y41864D1*
+D28*
+X59367Y33446D3*
+X59133Y34720D2*
+D10*
+X56133D1*
+Y35220D1*
+X59133D1*
+Y34720D1*
+D28*
+X15235Y31859D3*
+X15001Y33132D2*
+D10*
+X12001D1*
+Y33632D1*
+X15001D1*
+Y33132D1*
+X22577Y19616D2*
+D70*
+X22625Y19713D1*
+X22723Y19810D1*
+X22819Y19859D1*
+X23014D1*
+X23111Y19810D1*
+X23208Y19713D1*
+X23257Y19616D1*
+X23306Y19470D1*
+Y19226D1*
+X23257Y19082D1*
+X23208Y18984D1*
+X23111Y18887D1*
+X23014Y18838D1*
+X22819D1*
+X22723Y18887D1*
+X22625Y18984D1*
+X22577Y19082D1*
+X22263Y19663D2*
+X22165Y19713D1*
+X22019Y19858D1*
+Y18838D1*
+X67082Y22056D2*
+Y21036D1*
+X66742D1*
+X66596Y21085D1*
+X66498Y21182D1*
+X66450Y21279D1*
+X66402Y21424D1*
+Y21668D1*
+X66450Y21814D1*
+X66498Y21910D1*
+X66596Y22008D1*
+X66742Y22056D1*
+X67082D1*
+X65602Y21036D2*
+Y22055D1*
+X66088Y21376D1*
+X65359D1*
+X71027Y22056D2*
+Y21036D1*
+X70687D1*
+X70541Y21085D1*
+X70443Y21182D1*
+X70395Y21279D1*
+X70347Y21424D1*
+Y21668D1*
+X70395Y21814D1*
+X70443Y21910D1*
+X70541Y22008D1*
+X70687Y22056D1*
+X71027D1*
+X69450Y22055D2*
+X69935D1*
+X69983Y21618D1*
+X69935Y21667D1*
+X69789Y21716D1*
+X69644D1*
+X69498Y21667D1*
+X69401Y21570D1*
+X69352Y21424D1*
+Y21328D1*
+X69401Y21182D1*
+X69498Y21084D1*
+X69644Y21036D1*
+X69789D1*
+X69935Y21084D1*
+X69983Y21133D1*
+X70033Y21230D1*
+X74972Y22056D2*
+Y21036D1*
+X74631D1*
+X74485Y21085D1*
+X74388Y21182D1*
+X74339Y21279D1*
+X74291Y21424D1*
+Y21668D1*
+X74339Y21814D1*
+X74388Y21910D1*
+X74485Y22008D1*
+X74631Y22056D1*
+X74972D1*
+X73394Y21910D2*
+X73443Y22007D1*
+X73589Y22055D1*
+X73685D1*
+X73831Y22007D1*
+X73929Y21861D1*
+X73977Y21618D1*
+Y21376D1*
+X73929Y21182D1*
+X73831Y21084D1*
+X73685Y21036D1*
+X73637D1*
+X73492Y21084D1*
+X73394Y21182D1*
+X73346Y21328D1*
+Y21376D1*
+X73394Y21522D1*
+X73492Y21618D1*
+X73637Y21667D1*
+X73685D1*
+X73831Y21618D1*
+X73929Y21522D1*
+X73977Y21376D1*
+X27273Y29699D2*
+Y28679D1*
+X26231Y29457D2*
+X26279Y29553D1*
+X26377Y29651D1*
+X26473Y29699D1*
+X26668D1*
+X26765Y29651D1*
+X26862Y29553D1*
+X26911Y29457D1*
+X26960Y29311D1*
+Y29067D1*
+X26911Y28922D1*
+X26862Y28825D1*
+X26765Y28728D1*
+X26668Y28679D1*
+X26473D1*
+X26377Y28728D1*
+X26279Y28825D1*
+X26231Y28922D1*
+X25917Y29504D2*
+X25819Y29553D1*
+X25673Y29698D1*
+Y28679D1*
+X60764Y43847D2*
+X60861Y43800D1*
+X60958Y43703D1*
+X61007Y43605D1*
+X61056Y43459D1*
+Y43216D1*
+X61007Y43070D1*
+X60958Y42974D1*
+X60861Y42876D1*
+X60764Y42828D1*
+X60570D1*
+X60472Y42876D1*
+X60376Y42974D1*
+X60327Y43070D1*
+X60278Y43216D1*
+Y43459D1*
+X60327Y43605D1*
+X60376Y43703D1*
+X60472Y43800D1*
+X60570Y43847D1*
+X60764D1*
+X60618Y43022D2*
+X60327Y42730D1*
+X59964Y43652D2*
+X59866Y43701D1*
+X59720Y43846D1*
+Y42827D1*
+X60983Y37021D2*
+X61079Y36974D1*
+X61177Y36876D1*
+X61225Y36779D1*
+X61275Y36633D1*
+Y36390D1*
+X61225Y36244D1*
+X61177Y36148D1*
+X61079Y36050D1*
+X60983Y36002D1*
+X60788D1*
+X60691Y36050D1*
+X60594Y36148D1*
+X60546Y36244D1*
+X60496Y36390D1*
+Y36633D1*
+X60546Y36779D1*
+X60594Y36876D1*
+X60691Y36974D1*
+X60788Y37021D1*
+X60983D1*
+X60837Y36196D2*
+X60546Y35904D1*
+X60133Y36778D2*
+Y36826D1*
+X60085Y36924D1*
+X60037Y36972D1*
+X59939Y37020D1*
+X59745D1*
+X59648Y36972D1*
+X59600Y36924D1*
+X59550Y36826D1*
+Y36729D1*
+X59600Y36632D1*
+X59696Y36487D1*
+X60183Y36000D1*
+X59502D1*
+X12405Y35910D2*
+X12501Y35863D1*
+X12599Y35765D1*
+X12647Y35667D1*
+X12697Y35521D1*
+Y35279D1*
+X12647Y35133D1*
+X12599Y35036D1*
+X12501Y34939D1*
+X12405Y34890D1*
+X12210D1*
+X12113Y34939D1*
+X12016Y35036D1*
+X11968Y35133D1*
+X11918Y35279D1*
+Y35521D1*
+X11968Y35667D1*
+X12016Y35765D1*
+X12113Y35863D1*
+X12210Y35910D1*
+X12405D1*
+X12259Y35085D2*
+X11968Y34793D1*
+X11507Y35909D2*
+X10973D1*
+X11264Y35520D1*
+X11118D1*
+X11022Y35472D1*
+X10973Y35424D1*
+X10924Y35278D1*
+Y35181D1*
+X10973Y35035D1*
+X11070Y34937D1*
+X11216Y34889D1*
+X11362D1*
+X11507Y34937D1*
+X11555Y34987D1*
+X11605Y35083D1*
+X27450Y38746D2*
+X27013D1*
+X26867Y38796D1*
+X26818Y38844D1*
+X26769Y38941D1*
+Y39038D1*
+X26818Y39135D1*
+X26867Y39184D1*
+X27013Y39233D1*
+X27450D1*
+Y38212D1*
+X27110Y38746D2*
+X26769Y38212D1*
+X26456Y39037D2*
+X26358Y39087D1*
+X26212Y39232D1*
+Y38212D1*
+X34018Y38588D2*
+X33582D1*
+X33436Y38637D1*
+X33386Y38685D1*
+X33338Y38782D1*
+Y38880D1*
+X33386Y38976D1*
+X33436Y39026D1*
+X33582Y39074D1*
+X34018D1*
+Y38053D1*
+X33678Y38588D2*
+X33338Y38053D1*
+X32975Y38830D2*
+Y38878D1*
+X32926Y38976D1*
+X32878Y39024D1*
+X32780Y39073D1*
+X32586D1*
+X32489Y39024D1*
+X32441Y38976D1*
+X32392Y38878D1*
+Y38782D1*
+X32441Y38684D1*
+X32538Y38539D1*
+X33024Y38053D1*
+X32343D1*
+X38781Y38429D2*
+X38344D1*
+X38198Y38478D1*
+X38149Y38527D1*
+X38100Y38623D1*
+Y38721D1*
+X38149Y38817D1*
+X38198Y38867D1*
+X38344Y38915D1*
+X38781D1*
+Y37894D1*
+X38441Y38429D2*
+X38100Y37894D1*
+X37689Y38914D2*
+X37155D1*
+X37446Y38525D1*
+X37300D1*
+X37204Y38477D1*
+X37155Y38429D1*
+X37106Y38283D1*
+Y38186D1*
+X37155Y38040D1*
+X37252Y37943D1*
+X37398Y37894D1*
+X37544D1*
+X37689Y37943D1*
+X37737Y37992D1*
+X37787Y38089D1*
+X52299Y35571D2*
+X51862D1*
+X51716Y35621D1*
+X51667Y35669D1*
+X51619Y35765D1*
+Y35863D1*
+X51667Y35960D1*
+X51716Y36009D1*
+X51862Y36057D1*
+X52299D1*
+Y35037D1*
+X51959Y35571D2*
+X51619Y35037D1*
+X50818D2*
+Y36056D1*
+X51305Y35377D1*
+X50576D1*
+X47036Y33507D2*
+X46599D1*
+X46453Y33557D1*
+X46404Y33605D1*
+X46356Y33702D1*
+Y33799D1*
+X46404Y33896D1*
+X46453Y33945D1*
+X46599Y33994D1*
+X47036D1*
+Y32973D1*
+X46696Y33507D2*
+X46356Y32973D1*
+X45459Y33993D2*
+X45944D1*
+X45992Y33556D1*
+X45944Y33604D1*
+X45798Y33653D1*
+X45653D1*
+X45507Y33604D1*
+X45410Y33507D1*
+X45361Y33361D1*
+Y33265D1*
+X45410Y33119D1*
+X45507Y33021D1*
+X45653Y32973D1*
+X45798D1*
+X45944Y33021D1*
+X45992Y33071D1*
+X46042Y33167D1*
+X42884Y31920D2*
+X42447D1*
+X42301Y31969D1*
+X42252Y32018D1*
+X42203Y32114D1*
+Y32212D1*
+X42252Y32308D1*
+X42301Y32358D1*
+X42447Y32406D1*
+X42884D1*
+Y31385D1*
+X42544Y31920D2*
+X42203Y31385D1*
+X41307Y32260D2*
+X41355Y32357D1*
+X41501Y32405D1*
+X41598D1*
+X41744Y32357D1*
+X41841Y32211D1*
+X41890Y31968D1*
+Y31726D1*
+X41841Y31531D1*
+X41744Y31434D1*
+X41598Y31385D1*
+X41549D1*
+X41404Y31434D1*
+X41307Y31531D1*
+X41258Y31677D1*
+Y31726D1*
+X41307Y31872D1*
+X41404Y31968D1*
+X41549Y32016D1*
+X41598D1*
+X41744Y31968D1*
+X41841Y31872D1*
+X41890Y31726D1*
+X35970Y32919D2*
+X35533D1*
+X35387Y32968D1*
+X35337Y33017D1*
+X35289Y33113D1*
+Y33211D1*
+X35337Y33308D1*
+X35387Y33357D1*
+X35533Y33405D1*
+X35970D1*
+Y32384D1*
+X35629Y32919D2*
+X35289Y32384D1*
+X34781D2*
+X34295Y33404D1*
+X34975D1*
+X33112Y32760D2*
+X32675D1*
+X32529Y32810D1*
+X32479Y32858D1*
+X32431Y32955D1*
+Y33052D1*
+X32479Y33149D1*
+X32529Y33198D1*
+X32675Y33247D1*
+X33112D1*
+Y32226D1*
+X32771Y32760D2*
+X32431Y32226D1*
+X31875Y33246D2*
+X32020Y33197D1*
+X32069Y33101D1*
+Y33003D1*
+X32020Y32906D1*
+X31923Y32857D1*
+X31729Y32809D1*
+X31583Y32760D1*
+X31486Y32663D1*
+X31438Y32566D1*
+Y32420D1*
+X31486Y32323D1*
+X31534Y32274D1*
+X31680Y32226D1*
+X31875D1*
+X32020Y32274D1*
+X32069Y32323D1*
+X32117Y32420D1*
+Y32566D1*
+X32069Y32663D1*
+X31971Y32760D1*
+X31826Y32809D1*
+X31632Y32857D1*
+X31534Y32906D1*
+X31486Y33003D1*
+Y33101D1*
+X31534Y33197D1*
+X31680Y33246D1*
+X31875D1*
+X63568Y39745D2*
+X63131D1*
+X62985Y39794D1*
+X62936Y39843D1*
+X62888Y39939D1*
+Y40037D1*
+X62936Y40134D1*
+X62985Y40183D1*
+X63131Y40231D1*
+X63568D1*
+Y39210D1*
+X63228Y39745D2*
+X62888Y39210D1*
+X61942Y39891D2*
+X61991Y39745D1*
+X62088Y39647D1*
+X62234Y39599D1*
+X62282D1*
+X62428Y39647D1*
+X62525Y39745D1*
+X62574Y39891D1*
+Y39939D1*
+X62525Y40085D1*
+X62428Y40182D1*
+X62282Y40230D1*
+X62234D1*
+X62088Y40182D1*
+X61991Y40085D1*
+X61942Y39891D1*
+Y39647D1*
+X61991Y39405D1*
+X62088Y39259D1*
+X62234Y39210D1*
+X62330D1*
+X62476Y39259D1*
+X62525Y39356D1*
+X62283Y28310D2*
+X61846D1*
+X61700Y28359D1*
+X61651Y28407D1*
+X61602Y28504D1*
+Y28602D1*
+X61651Y28698D1*
+X61700Y28748D1*
+X61846Y28796D1*
+X62283D1*
+Y27775D1*
+X61943Y28310D2*
+X61602Y27775D1*
+X61289Y28601D2*
+X61191Y28650D1*
+X61045Y28795D1*
+Y27775D1*
+X60439Y28795D2*
+X60585Y28747D1*
+X60683Y28601D1*
+X60731Y28358D1*
+Y28212D1*
+X60683Y27969D1*
+X60585Y27823D1*
+X60439Y27775D1*
+X60343D1*
+X60197Y27823D1*
+X60100Y27969D1*
+X60051Y28212D1*
+Y28358D1*
+X60100Y28601D1*
+X60197Y28747D1*
+X60343Y28795D1*
+X60439D1*
+X60100Y28601D2*
+X60683Y27969D1*
+X12847Y27357D2*
+X12410D1*
+X12264Y27407D1*
+X12214Y27455D1*
+X12166Y27551D1*
+Y27649D1*
+X12214Y27746D1*
+X12264Y27795D1*
+X12410Y27843D1*
+X12847D1*
+Y26823D1*
+X12506Y27357D2*
+X12166Y26823D1*
+X11852Y27648D2*
+X11755Y27697D1*
+X11609Y27842D1*
+Y26823D1*
+X11295Y27648D2*
+X11197Y27697D1*
+X11051Y27842D1*
+Y26823D1*
+X55415Y38901D2*
+X54978D1*
+X54832Y38950D1*
+X54782Y38998D1*
+X54734Y39095D1*
+Y39193D1*
+X54782Y39289D1*
+X54832Y39339D1*
+X54978Y39387D1*
+X55415D1*
+Y38366D1*
+X55074Y38901D2*
+X54734Y38366D1*
+X54420Y39192D2*
+X54323Y39241D1*
+X54177Y39386D1*
+Y38366D1*
+X53814Y39143D2*
+Y39192D1*
+X53765Y39289D1*
+X53717Y39338D1*
+X53619Y39386D1*
+X53425D1*
+X53328Y39338D1*
+X53280Y39289D1*
+X53231Y39192D1*
+Y39095D1*
+X53280Y38997D1*
+X53377Y38852D1*
+X53863Y38366D1*
+X53182D1*
+X55573Y32074D2*
+X55137D1*
+X54991Y32123D1*
+X54941Y32171D1*
+X54893Y32268D1*
+Y32366D1*
+X54941Y32462D1*
+X54991Y32512D1*
+X55137Y32560D1*
+X55573D1*
+Y31539D1*
+X55233Y32074D2*
+X54893Y31539D1*
+X54579Y32365D2*
+X54481Y32414D1*
+X54335Y32559D1*
+Y31539D1*
+X53924Y32559D2*
+X53391D1*
+X53681Y32170D1*
+X53535D1*
+X53439Y32122D1*
+X53391Y32074D1*
+X53341Y31928D1*
+Y31831D1*
+X53391Y31685D1*
+X53487Y31587D1*
+X53633Y31539D1*
+X53779D1*
+X53924Y31587D1*
+X53972Y31637D1*
+X54022Y31733D1*
+X14472Y31915D2*
+X14035D1*
+X13889Y31964D1*
+X13840Y32013D1*
+X13791Y32109D1*
+Y32207D1*
+X13840Y32304D1*
+X13889Y32353D1*
+X14035Y32401D1*
+X14472D1*
+Y31380D1*
+X14132Y31915D2*
+X13791Y31380D1*
+X13478Y32206D2*
+X13380Y32255D1*
+X13234Y32400D1*
+Y31380D1*
+X12434D2*
+Y32400D1*
+X12920Y31721D1*
+X12191D1*
+M02*
diff --git a/gerbonara/tests/resources/diptrace/panel_BottomMask.gbr b/gerbonara/tests/resources/diptrace/panel_BottomMask.gbr
new file mode 100644
index 0000000..9524ef6
--- /dev/null
+++ b/gerbonara/tests/resources/diptrace/panel_BottomMask.gbr
@@ -0,0 +1,189 @@
+G04 DipTrace 2.4.0.2*
+%INpanel_BottomMask.gbr*%
+%MOMM*%
+%ADD31R,1.7X1.7*%
+%ADD40C,3.2*%
+%ADD45C,1.4*%
+%ADD47C,1.7*%
+%ADD49R,3.8X3.8*%
+%ADD51O,2.3X2.8*%
+%ADD53R,1.7X1.5*%
+%ADD55C,1.8*%
+%ADD56R,2.0X0.6*%
+%ADD58R,1.9X1.9*%
+%ADD59C,1.9*%
+%ADD61R,0.85X1.25*%
+%ADD64C,1.55*%
+%ADD66R,1.55X1.55*%
+%ADD68R,1.5X1.7*%
+%FSLAX53Y53*%
+G04*
+G71*
+G90*
+G75*
+G01*
+%LNBotMask*%
+%LPD*%
+D47*
+X27147Y26829D3*
+X30322D3*
+X61123Y22701D3*
+X68426D3*
+X63504Y26671D3*
+X58583D3*
+X30005Y22384D3*
+X22066D3*
+X29211Y17780D3*
+Y14604D3*
+D45*
+X43978Y38423D3*
+Y36042D3*
+X44296Y30010D3*
+X39533D3*
+X43026Y28740D3*
+X36993D3*
+X33656Y27478D3*
+X42065D3*
+X36358Y38582D3*
+X42073D3*
+D47*
+X56361Y28740D3*
+Y18580D3*
+X51914Y13652D3*
+Y18675D3*
+X31592Y11111D3*
+Y17780D3*
+D68*
+X21913Y17621D3*
+X23813D3*
+D66*
+X58583Y13810D3*
+D64*
+X61083D3*
+D47*
+X17626Y40805D3*
+D31*
+X20166D3*
+D47*
+X17626Y33185D3*
+D31*
+X20166D3*
+D47*
+X17626Y25565D3*
+D31*
+X20166D3*
+D61*
+X66956Y17744D3*
+X65056D3*
+X66006Y20044D3*
+X70925Y17744D3*
+X69025D3*
+X69975Y20044D3*
+X74894Y17744D3*
+X72994D3*
+X73944Y20044D3*
+D59*
+X32386Y40801D3*
+X34926Y25561D3*
+X37466D3*
+X42546D3*
+X45086D3*
+X47626D3*
+X50166D3*
+X52706Y40801D3*
+Y25561D3*
+X40006D3*
+X34926Y40801D3*
+X40006D3*
+X42546D3*
+X45086D3*
+X47626D3*
+X50166D3*
+X37466D3*
+D58*
+X32386Y25561D3*
+D56*
+X29373Y35090D3*
+Y34440D3*
+Y33790D3*
+Y33140D3*
+Y32490D3*
+Y31840D3*
+Y31190D3*
+Y30540D3*
+X23573D3*
+Y31190D3*
+Y31840D3*
+Y32490D3*
+Y33140D3*
+Y33790D3*
+Y34440D3*
+Y35090D3*
+D31*
+X37628Y16675D3*
+D55*
+Y18675D3*
+X39628Y16675D3*
+Y18675D3*
+X41628D3*
+Y16675D3*
+X43628D3*
+Y18675D3*
+X45628Y16675D3*
+Y18675D3*
+D61*
+X58583Y40964D3*
+X56683D3*
+X57633Y43264D3*
+X58583Y33820D3*
+X56683D3*
+X57633Y36120D3*
+X14451Y32232D3*
+X12551D3*
+X13501Y34532D3*
+D68*
+X25881Y36995D3*
+X27781D3*
+X32231D3*
+X34131D3*
+X36993Y36677D3*
+X38893D3*
+X47471Y35407D3*
+X49371D3*
+X42391Y33502D3*
+X44291D3*
+X38263Y31915D3*
+X40163D3*
+D53*
+X35088Y30962D3*
+Y29062D3*
+X32231Y30327D3*
+Y28427D3*
+X60647Y40646D3*
+Y38746D3*
+X58583Y30163D3*
+Y28263D3*
+X14128Y28258D3*
+Y26358D3*
+D68*
+X58583Y38896D3*
+X56683D3*
+X58583Y32069D3*
+X56683D3*
+X14282Y30163D3*
+X12382D3*
+D51*
+X66045Y25565D3*
+X68545D3*
+X71045D3*
+X66045Y40065D3*
+X71045D3*
+D49*
+X64045Y33065D3*
+X73045D3*
+D40*
+X12863Y42392D3*
+Y13500D3*
+X75629D3*
+Y42393D3*
+M02*
diff --git a/gerbonara/tests/resources/diptrace/panel_BottomSilk.gbr b/gerbonara/tests/resources/diptrace/panel_BottomSilk.gbr
new file mode 100644
index 0000000..fe45cfa
--- /dev/null
+++ b/gerbonara/tests/resources/diptrace/panel_BottomSilk.gbr
@@ -0,0 +1,701 @@
+G04 DipTrace 2.4.0.2*
+%INpanel_BottomSilk.gbr*%
+%MOMM*%
+%ADD10C,0.25*%
+%ADD28O,0.391X0.392*%
+%ADD70C,0.157*%
+%FSLAX53Y53*%
+G04*
+G71*
+G90*
+G75*
+G01*
+%LNBotSilk*%
+%LPD*%
+X20862Y18270D2*
+D10*
+Y16971D1*
+D28*
+X67740Y17370D3*
+X67506Y18644D2*
+D10*
+X64506D1*
+Y19144D1*
+X67506D1*
+Y18644D1*
+D28*
+X71709Y17370D3*
+X71475Y18644D2*
+D10*
+X68475D1*
+Y19144D1*
+X71475D1*
+Y18644D1*
+D28*
+X75678Y17370D3*
+X75444Y18644D2*
+D10*
+X72444D1*
+Y19144D1*
+X75444D1*
+Y18644D1*
+X28073Y35515D2*
+Y30115D1*
+X24873Y35515D2*
+Y30115D1*
+X28073D2*
+X24873D1*
+X28073Y35515D2*
+X26973D1*
+X25973D2*
+X24873D1*
+X26973D2*
+G02X25973Y35515I-500J1D01*
+G01*
+D28*
+X59367Y40590D3*
+X59133Y41864D2*
+D10*
+X56133D1*
+Y42364D1*
+X59133D1*
+Y41864D1*
+D28*
+X59367Y33446D3*
+X59133Y34720D2*
+D10*
+X56133D1*
+Y35220D1*
+X59133D1*
+Y34720D1*
+D28*
+X15235Y31859D3*
+X15001Y33132D2*
+D10*
+X12001D1*
+Y33632D1*
+X15001D1*
+Y33132D1*
+X22577Y19616D2*
+D70*
+X22625Y19713D1*
+X22723Y19810D1*
+X22819Y19859D1*
+X23014D1*
+X23111Y19810D1*
+X23208Y19713D1*
+X23257Y19616D1*
+X23306Y19470D1*
+Y19226D1*
+X23257Y19082D1*
+X23208Y18984D1*
+X23111Y18887D1*
+X23014Y18838D1*
+X22819D1*
+X22723Y18887D1*
+X22625Y18984D1*
+X22577Y19082D1*
+X22263Y19663D2*
+X22165Y19713D1*
+X22019Y19858D1*
+Y18838D1*
+X67082Y22056D2*
+Y21036D1*
+X66742D1*
+X66596Y21085D1*
+X66498Y21182D1*
+X66450Y21279D1*
+X66402Y21424D1*
+Y21668D1*
+X66450Y21814D1*
+X66498Y21910D1*
+X66596Y22008D1*
+X66742Y22056D1*
+X67082D1*
+X65602Y21036D2*
+Y22055D1*
+X66088Y21376D1*
+X65359D1*
+X71027Y22056D2*
+Y21036D1*
+X70687D1*
+X70541Y21085D1*
+X70443Y21182D1*
+X70395Y21279D1*
+X70347Y21424D1*
+Y21668D1*
+X70395Y21814D1*
+X70443Y21910D1*
+X70541Y22008D1*
+X70687Y22056D1*
+X71027D1*
+X69450Y22055D2*
+X69935D1*
+X69983Y21618D1*
+X69935Y21667D1*
+X69789Y21716D1*
+X69644D1*
+X69498Y21667D1*
+X69401Y21570D1*
+X69352Y21424D1*
+Y21328D1*
+X69401Y21182D1*
+X69498Y21084D1*
+X69644Y21036D1*
+X69789D1*
+X69935Y21084D1*
+X69983Y21133D1*
+X70033Y21230D1*
+X74972Y22056D2*
+Y21036D1*
+X74631D1*
+X74485Y21085D1*
+X74388Y21182D1*
+X74339Y21279D1*
+X74291Y21424D1*
+Y21668D1*
+X74339Y21814D1*
+X74388Y21910D1*
+X74485Y22008D1*
+X74631Y22056D1*
+X74972D1*
+X73394Y21910D2*
+X73443Y22007D1*
+X73589Y22055D1*
+X73685D1*
+X73831Y22007D1*
+X73929Y21861D1*
+X73977Y21618D1*
+Y21376D1*
+X73929Y21182D1*
+X73831Y21084D1*
+X73685Y21036D1*
+X73637D1*
+X73492Y21084D1*
+X73394Y21182D1*
+X73346Y21328D1*
+Y21376D1*
+X73394Y21522D1*
+X73492Y21618D1*
+X73637Y21667D1*
+X73685D1*
+X73831Y21618D1*
+X73929Y21522D1*
+X73977Y21376D1*
+X27273Y29699D2*
+Y28679D1*
+X26231Y29457D2*
+X26279Y29553D1*
+X26377Y29651D1*
+X26473Y29699D1*
+X26668D1*
+X26765Y29651D1*
+X26862Y29553D1*
+X26911Y29457D1*
+X26960Y29311D1*
+Y29067D1*
+X26911Y28922D1*
+X26862Y28825D1*
+X26765Y28728D1*
+X26668Y28679D1*
+X26473D1*
+X26377Y28728D1*
+X26279Y28825D1*
+X26231Y28922D1*
+X25917Y29504D2*
+X25819Y29553D1*
+X25673Y29698D1*
+Y28679D1*
+X60764Y43847D2*
+X60861Y43800D1*
+X60958Y43703D1*
+X61007Y43605D1*
+X61056Y43459D1*
+Y43216D1*
+X61007Y43070D1*
+X60958Y42974D1*
+X60861Y42876D1*
+X60764Y42828D1*
+X60570D1*
+X60472Y42876D1*
+X60376Y42974D1*
+X60327Y43070D1*
+X60278Y43216D1*
+Y43459D1*
+X60327Y43605D1*
+X60376Y43703D1*
+X60472Y43800D1*
+X60570Y43847D1*
+X60764D1*
+X60618Y43022D2*
+X60327Y42730D1*
+X59964Y43652D2*
+X59866Y43701D1*
+X59720Y43846D1*
+Y42827D1*
+X60983Y37021D2*
+X61079Y36974D1*
+X61177Y36876D1*
+X61225Y36779D1*
+X61275Y36633D1*
+Y36390D1*
+X61225Y36244D1*
+X61177Y36148D1*
+X61079Y36050D1*
+X60983Y36002D1*
+X60788D1*
+X60691Y36050D1*
+X60594Y36148D1*
+X60546Y36244D1*
+X60496Y36390D1*
+Y36633D1*
+X60546Y36779D1*
+X60594Y36876D1*
+X60691Y36974D1*
+X60788Y37021D1*
+X60983D1*
+X60837Y36196D2*
+X60546Y35904D1*
+X60133Y36778D2*
+Y36826D1*
+X60085Y36924D1*
+X60037Y36972D1*
+X59939Y37020D1*
+X59745D1*
+X59648Y36972D1*
+X59600Y36924D1*
+X59550Y36826D1*
+Y36729D1*
+X59600Y36632D1*
+X59696Y36487D1*
+X60183Y36000D1*
+X59502D1*
+X12405Y35910D2*
+X12501Y35863D1*
+X12599Y35765D1*
+X12647Y35667D1*
+X12697Y35521D1*
+Y35279D1*
+X12647Y35133D1*
+X12599Y35036D1*
+X12501Y34939D1*
+X12405Y34890D1*
+X12210D1*
+X12113Y34939D1*
+X12016Y35036D1*
+X11968Y35133D1*
+X11918Y35279D1*
+Y35521D1*
+X11968Y35667D1*
+X12016Y35765D1*
+X12113Y35863D1*
+X12210Y35910D1*
+X12405D1*
+X12259Y35085D2*
+X11968Y34793D1*
+X11507Y35909D2*
+X10973D1*
+X11264Y35520D1*
+X11118D1*
+X11022Y35472D1*
+X10973Y35424D1*
+X10924Y35278D1*
+Y35181D1*
+X10973Y35035D1*
+X11070Y34937D1*
+X11216Y34889D1*
+X11362D1*
+X11507Y34937D1*
+X11555Y34987D1*
+X11605Y35083D1*
+X27450Y38746D2*
+X27013D1*
+X26867Y38796D1*
+X26818Y38844D1*
+X26769Y38941D1*
+Y39038D1*
+X26818Y39135D1*
+X26867Y39184D1*
+X27013Y39233D1*
+X27450D1*
+Y38212D1*
+X27110Y38746D2*
+X26769Y38212D1*
+X26456Y39037D2*
+X26358Y39087D1*
+X26212Y39232D1*
+Y38212D1*
+X34018Y38588D2*
+X33582D1*
+X33436Y38637D1*
+X33386Y38685D1*
+X33338Y38782D1*
+Y38880D1*
+X33386Y38976D1*
+X33436Y39026D1*
+X33582Y39074D1*
+X34018D1*
+Y38053D1*
+X33678Y38588D2*
+X33338Y38053D1*
+X32975Y38830D2*
+Y38878D1*
+X32926Y38976D1*
+X32878Y39024D1*
+X32780Y39073D1*
+X32586D1*
+X32489Y39024D1*
+X32441Y38976D1*
+X32392Y38878D1*
+Y38782D1*
+X32441Y38684D1*
+X32538Y38539D1*
+X33024Y38053D1*
+X32343D1*
+X38781Y38429D2*
+X38344D1*
+X38198Y38478D1*
+X38149Y38527D1*
+X38100Y38623D1*
+Y38721D1*
+X38149Y38817D1*
+X38198Y38867D1*
+X38344Y38915D1*
+X38781D1*
+Y37894D1*
+X38441Y38429D2*
+X38100Y37894D1*
+X37689Y38914D2*
+X37155D1*
+X37446Y38525D1*
+X37300D1*
+X37204Y38477D1*
+X37155Y38429D1*
+X37106Y38283D1*
+Y38186D1*
+X37155Y38040D1*
+X37252Y37943D1*
+X37398Y37894D1*
+X37544D1*
+X37689Y37943D1*
+X37737Y37992D1*
+X37787Y38089D1*
+X52299Y35571D2*
+X51862D1*
+X51716Y35621D1*
+X51667Y35669D1*
+X51619Y35765D1*
+Y35863D1*
+X51667Y35960D1*
+X51716Y36009D1*
+X51862Y36057D1*
+X52299D1*
+Y35037D1*
+X51959Y35571D2*
+X51619Y35037D1*
+X50818D2*
+Y36056D1*
+X51305Y35377D1*
+X50576D1*
+X47036Y33507D2*
+X46599D1*
+X46453Y33557D1*
+X46404Y33605D1*
+X46356Y33702D1*
+Y33799D1*
+X46404Y33896D1*
+X46453Y33945D1*
+X46599Y33994D1*
+X47036D1*
+Y32973D1*
+X46696Y33507D2*
+X46356Y32973D1*
+X45459Y33993D2*
+X45944D1*
+X45992Y33556D1*
+X45944Y33604D1*
+X45798Y33653D1*
+X45653D1*
+X45507Y33604D1*
+X45410Y33507D1*
+X45361Y33361D1*
+Y33265D1*
+X45410Y33119D1*
+X45507Y33021D1*
+X45653Y32973D1*
+X45798D1*
+X45944Y33021D1*
+X45992Y33071D1*
+X46042Y33167D1*
+X42884Y31920D2*
+X42447D1*
+X42301Y31969D1*
+X42252Y32018D1*
+X42203Y32114D1*
+Y32212D1*
+X42252Y32308D1*
+X42301Y32358D1*
+X42447Y32406D1*
+X42884D1*
+Y31385D1*
+X42544Y31920D2*
+X42203Y31385D1*
+X41307Y32260D2*
+X41355Y32357D1*
+X41501Y32405D1*
+X41598D1*
+X41744Y32357D1*
+X41841Y32211D1*
+X41890Y31968D1*
+Y31726D1*
+X41841Y31531D1*
+X41744Y31434D1*
+X41598Y31385D1*
+X41549D1*
+X41404Y31434D1*
+X41307Y31531D1*
+X41258Y31677D1*
+Y31726D1*
+X41307Y31872D1*
+X41404Y31968D1*
+X41549Y32016D1*
+X41598D1*
+X41744Y31968D1*
+X41841Y31872D1*
+X41890Y31726D1*
+X35970Y32919D2*
+X35533D1*
+X35387Y32968D1*
+X35337Y33017D1*
+X35289Y33113D1*
+Y33211D1*
+X35337Y33308D1*
+X35387Y33357D1*
+X35533Y33405D1*
+X35970D1*
+Y32384D1*
+X35629Y32919D2*
+X35289Y32384D1*
+X34781D2*
+X34295Y33404D1*
+X34975D1*
+X33112Y32760D2*
+X32675D1*
+X32529Y32810D1*
+X32479Y32858D1*
+X32431Y32955D1*
+Y33052D1*
+X32479Y33149D1*
+X32529Y33198D1*
+X32675Y33247D1*
+X33112D1*
+Y32226D1*
+X32771Y32760D2*
+X32431Y32226D1*
+X31875Y33246D2*
+X32020Y33197D1*
+X32069Y33101D1*
+Y33003D1*
+X32020Y32906D1*
+X31923Y32857D1*
+X31729Y32809D1*
+X31583Y32760D1*
+X31486Y32663D1*
+X31438Y32566D1*
+Y32420D1*
+X31486Y32323D1*
+X31534Y32274D1*
+X31680Y32226D1*
+X31875D1*
+X32020Y32274D1*
+X32069Y32323D1*
+X32117Y32420D1*
+Y32566D1*
+X32069Y32663D1*
+X31971Y32760D1*
+X31826Y32809D1*
+X31632Y32857D1*
+X31534Y32906D1*
+X31486Y33003D1*
+Y33101D1*
+X31534Y33197D1*
+X31680Y33246D1*
+X31875D1*
+X63568Y39745D2*
+X63131D1*
+X62985Y39794D1*
+X62936Y39843D1*
+X62888Y39939D1*
+Y40037D1*
+X62936Y40134D1*
+X62985Y40183D1*
+X63131Y40231D1*
+X63568D1*
+Y39210D1*
+X63228Y39745D2*
+X62888Y39210D1*
+X61942Y39891D2*
+X61991Y39745D1*
+X62088Y39647D1*
+X62234Y39599D1*
+X62282D1*
+X62428Y39647D1*
+X62525Y39745D1*
+X62574Y39891D1*
+Y39939D1*
+X62525Y40085D1*
+X62428Y40182D1*
+X62282Y40230D1*
+X62234D1*
+X62088Y40182D1*
+X61991Y40085D1*
+X61942Y39891D1*
+Y39647D1*
+X61991Y39405D1*
+X62088Y39259D1*
+X62234Y39210D1*
+X62330D1*
+X62476Y39259D1*
+X62525Y39356D1*
+X62283Y28310D2*
+X61846D1*
+X61700Y28359D1*
+X61651Y28407D1*
+X61602Y28504D1*
+Y28602D1*
+X61651Y28698D1*
+X61700Y28748D1*
+X61846Y28796D1*
+X62283D1*
+Y27775D1*
+X61943Y28310D2*
+X61602Y27775D1*
+X61289Y28601D2*
+X61191Y28650D1*
+X61045Y28795D1*
+Y27775D1*
+X60439Y28795D2*
+X60585Y28747D1*
+X60683Y28601D1*
+X60731Y28358D1*
+Y28212D1*
+X60683Y27969D1*
+X60585Y27823D1*
+X60439Y27775D1*
+X60343D1*
+X60197Y27823D1*
+X60100Y27969D1*
+X60051Y28212D1*
+Y28358D1*
+X60100Y28601D1*
+X60197Y28747D1*
+X60343Y28795D1*
+X60439D1*
+X60100Y28601D2*
+X60683Y27969D1*
+X12847Y27357D2*
+X12410D1*
+X12264Y27407D1*
+X12214Y27455D1*
+X12166Y27551D1*
+Y27649D1*
+X12214Y27746D1*
+X12264Y27795D1*
+X12410Y27843D1*
+X12847D1*
+Y26823D1*
+X12506Y27357D2*
+X12166Y26823D1*
+X11852Y27648D2*
+X11755Y27697D1*
+X11609Y27842D1*
+Y26823D1*
+X11295Y27648D2*
+X11197Y27697D1*
+X11051Y27842D1*
+Y26823D1*
+X55415Y38901D2*
+X54978D1*
+X54832Y38950D1*
+X54782Y38998D1*
+X54734Y39095D1*
+Y39193D1*
+X54782Y39289D1*
+X54832Y39339D1*
+X54978Y39387D1*
+X55415D1*
+Y38366D1*
+X55074Y38901D2*
+X54734Y38366D1*
+X54420Y39192D2*
+X54323Y39241D1*
+X54177Y39386D1*
+Y38366D1*
+X53814Y39143D2*
+Y39192D1*
+X53765Y39289D1*
+X53717Y39338D1*
+X53619Y39386D1*
+X53425D1*
+X53328Y39338D1*
+X53280Y39289D1*
+X53231Y39192D1*
+Y39095D1*
+X53280Y38997D1*
+X53377Y38852D1*
+X53863Y38366D1*
+X53182D1*
+X55573Y32074D2*
+X55137D1*
+X54991Y32123D1*
+X54941Y32171D1*
+X54893Y32268D1*
+Y32366D1*
+X54941Y32462D1*
+X54991Y32512D1*
+X55137Y32560D1*
+X55573D1*
+Y31539D1*
+X55233Y32074D2*
+X54893Y31539D1*
+X54579Y32365D2*
+X54481Y32414D1*
+X54335Y32559D1*
+Y31539D1*
+X53924Y32559D2*
+X53391D1*
+X53681Y32170D1*
+X53535D1*
+X53439Y32122D1*
+X53391Y32074D1*
+X53341Y31928D1*
+Y31831D1*
+X53391Y31685D1*
+X53487Y31587D1*
+X53633Y31539D1*
+X53779D1*
+X53924Y31587D1*
+X53972Y31637D1*
+X54022Y31733D1*
+X14472Y31915D2*
+X14035D1*
+X13889Y31964D1*
+X13840Y32013D1*
+X13791Y32109D1*
+Y32207D1*
+X13840Y32304D1*
+X13889Y32353D1*
+X14035Y32401D1*
+X14472D1*
+Y31380D1*
+X14132Y31915D2*
+X13791Y31380D1*
+X13478Y32206D2*
+X13380Y32255D1*
+X13234Y32400D1*
+Y31380D1*
+X12434D2*
+Y32400D1*
+X12920Y31721D1*
+X12191D1*
+M02*